JP3632256B2 - 窒化シリコン膜を有する半導体装置の製造方法 - Google Patents
窒化シリコン膜を有する半導体装置の製造方法 Download PDFInfo
- Publication number
- JP3632256B2 JP3632256B2 JP25563595A JP25563595A JP3632256B2 JP 3632256 B2 JP3632256 B2 JP 3632256B2 JP 25563595 A JP25563595 A JP 25563595A JP 25563595 A JP25563595 A JP 25563595A JP 3632256 B2 JP3632256 B2 JP 3632256B2
- Authority
- JP
- Japan
- Prior art keywords
- film
- gas
- sin film
- stress
- ratio
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000004065 semiconductor Substances 0.000 title claims description 12
- 229910052581 Si3N4 Inorganic materials 0.000 title claims description 9
- 238000004519 manufacturing process Methods 0.000 title claims description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 title claims description 8
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 65
- 239000007789 gas Substances 0.000 claims description 53
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 40
- 229910000077 silane Inorganic materials 0.000 claims description 24
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 21
- 229910021529 ammonia Inorganic materials 0.000 claims description 20
- 238000000034 method Methods 0.000 claims description 9
- 229910001873 dinitrogen Inorganic materials 0.000 claims description 4
- 239000010408 film Substances 0.000 description 179
- 238000010521 absorption reaction Methods 0.000 description 29
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 20
- 239000013078 crystal Substances 0.000 description 19
- 239000000758 substrate Substances 0.000 description 19
- 238000005530 etching Methods 0.000 description 16
- 238000010586 diagram Methods 0.000 description 15
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 15
- 230000003287 optical effect Effects 0.000 description 14
- 238000012360 testing method Methods 0.000 description 14
- 238000006243 chemical reaction Methods 0.000 description 13
- 238000002834 transmittance Methods 0.000 description 12
- 230000015572 biosynthetic process Effects 0.000 description 11
- 239000000203 mixture Substances 0.000 description 10
- 230000005540 biological transmission Effects 0.000 description 8
- 230000007423 decrease Effects 0.000 description 8
- 239000002243 precursor Substances 0.000 description 8
- 238000013508 migration Methods 0.000 description 7
- 230000005012 migration Effects 0.000 description 7
- 238000000151 deposition Methods 0.000 description 6
- 239000012495 reaction gas Substances 0.000 description 6
- 230000008021 deposition Effects 0.000 description 5
- 230000001681 protective effect Effects 0.000 description 5
- 230000008859 change Effects 0.000 description 4
- 230000006866 deterioration Effects 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 3
- 230000003993 interaction Effects 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 230000035699 permeability Effects 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- 238000000089 atomic force micrograph Methods 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000011156 evaluation Methods 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000010410 layer Substances 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 230000008569 process Effects 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 229910017392 Au—Co Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910008045 Si-Si Inorganic materials 0.000 description 1
- 229910006411 Si—Si Inorganic materials 0.000 description 1
- UMVBXBACMIOFDO-UHFFFAOYSA-N [N].[Si] Chemical compound [N].[Si] UMVBXBACMIOFDO-UHFFFAOYSA-N 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000002542 deteriorative effect Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000011835 investigation Methods 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 239000011259 mixed solution Substances 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 239000005360 phosphosilicate glass Substances 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000001947 vapour-phase growth Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/347—Carbon nitride
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/28—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
- H01L23/31—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
- H01L23/3157—Partial encapsulation or coating
- H01L23/3171—Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Formation Of Insulating Films (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
Description
【発明の属する技術分野】
本発明は、保護膜あるいは層間膜等で紫外線透過を必要とする窒化シリコン膜を有した半導体装置及びその製造方法に関し、特に、紫外線消去型ROMの絶縁膜に関するものである。
【0002】
【従来の技術】
従来、紫外線消去型ROM の保護用の絶縁膜の一つとして窒化シリコン膜(以下SiN 膜と記す)が用いられている。これはSiN 膜が図20の模式図に示すような反応槽でプラズマCVD法により、450 ℃以下の温度で形成可能であるため、つまりAl配線とSi基板との反応が抑制できるためである。そして近年、この絶縁膜の特性改善が追求されている。ここでSiN 膜は反応ガスのプラズマ化によって形成され、その成膜条件は多数の要素によって決まり、一律に望ましいSiN 膜を得る条件というものが定まっていない。しかしながらその中でも、特公昭63−53703号公報では、その組成比Si/Nを0.65〜0.825 として、SiN 膜が波長300nm 以下の紫外線に対して透明となるようにしている。この膜は組成比Si/Nが0.65以下では化学的性質が劣化し、0.825 以上では紫外線を透過しない性質をもつためである。しかし実際の紫外線消去型ROM で使用される消去のための紫外線は波長が約254nm である。従って、紫外線消去型ROMに使用されるSiN 膜の吸収端波長を254nm よりも短くする必要がある。
【0003】
また、特開平3−129734号公報において、上記組成比0.65〜0.825 では下地に及ぼすストレスが大きいという難点を指摘しており、下地に別のSiO2などの絶縁層を設ける構造を提案している。一方、応用物理学会誌(第50巻第 6号、P638〜649,1981年)の「プラズマCVD技術と応用」では、この SiN膜自体の内部に大きな内部応力を有することを指摘し、それが膜内に含有される水素原子量で決まる旨書かれており、かつ、水素含有量が多いと圧縮応力が減少することを指定している。しかし同時に水素原子を多く含むと同じSi/N比でも吸収端波長が長くなり、紫外線透過の面で悪影響を及ぼすことがわかる。従って以上のことから総合的にみると、紫外線消去型ROM の絶縁膜としては必要とされる条件を満たすものが無かったということができる。
【0004】
この点について、水素原子の含有量をSi/N比に対して規定した構成が特開平6−267941号公報で提案されている。これにより装置絶縁膜として紫外線透過性を有し、かつ低膜内応力の絶縁膜を提供することが示されている。
【0005】
【発明が解決しようとする課題】
しかしながら、さらに研究を進めた結果、次のことが判明した。即ち、この構成の絶縁膜を実際のAl配線上に堆積させると、Al配線の段差が少ない構成の場合は問題なく使用できる。しかし、高段差の場合やAl配線が接近している場合のように段差比が大きい場合には、段差内部に絶縁膜が入りにくく、図6に示す断面図のように、段差の中央部に略三角形の隙間37が残留して、充填性が不足した構造となる。
【0006】
この場合には、SiN 膜の被覆性が著しく低下してしまって、ボイドが発生したり、特に段差の底角部から段差間中央部に向けて幅が10nm程度のスリット(以下、「ナノスリット」という)が形成されてしまうなどの問題がある。特に、最近のLSI においては、配線幅および配線間隔が狭く、段差比が大きくなっているので、上記のことが問題となる。
【0007】
ここで段差部に形成されるナノスリットとは、従来製法により形成された SiN膜の断面TEM(透過型電子顕微鏡)写真の模式図(図6)において示される38のことである。段差部に絶縁膜35が堆積される場合において、堆積していく成膜前駆体(シランとアンモニアとが反応してできた−(Sil−Nm−Hn)のこと)が、シャドウイング現象により、段差部の底角部にあたる部分には届きにくいことから、底角部の部分を残すような形で堆積が進み、残った部分が周囲の堆積で狭まって、極細の間隙として形成される部分がナノスリットである。そして、このナノスリット38は逆Y字形形状となるものであり、断面TEM(透過型電子顕微鏡)や断面FESEM(電界放出型走査型電子顕微鏡)で観察することができる。このような隙間には水分などが侵入して素子の劣化や配線の腐食を早める原因となり問題である。
【0008】
従って本発明の目的は、紫外線透過性と低膜内応力を維持しつつ被覆性の良い窒素シリコン膜を有する半導体装置を提供すること及びその半導体装置の製造方法を提供することである。
【0009】
【課題を解決するための手段及び作用効果】
本発明者らは、上記目的を達成するため、SiN 膜の製造条件等から種々検討を行った。その結果、組成比Si/Nを制御するだけではなく、SiN 膜表面をAFM(原子間力顕微鏡)で観察したときに見られる膜表面の粒界の平均面積を制御すれば上記目的を達成できることを初めて見いだした。この点について詳述する。
【0010】
まず、図21について、本発明が適用される紫外線消去型ROMの構造の一例を説明する。図面では紫外線消去型ROMのメモリ部のみが示されている。この紫外線消去型ROMによれば、Si基板41の中にポリシリコン膜から成るコントロール・ゲート43とフローティング・ゲート42とが積層されている。そして、気相成長により形成されたボロン・リンシリケートガラス膜からなる層間絶縁膜44を通じて設けたコンタクトホールにAl配線45が被着され、その後、最上面に保護膜としてのSiN 膜(窒化シリコン膜)46を形成する。そして、このSiN 膜を形成する場合、図20に示すプラズマCVD装置を用いる。このプラズマCVD装置の真空チェンバー内に原料ガスとしてシラン(SiH4)ガスとアンモニア(NH3) ガス、窒素(N2)ガスを導入し、下部電極93と上部電極92の間にRF電源により13.56 MHzの高周波電力を印加し、プラズマを発生させて、原料ガスを反応させて、SiN を基板上に堆積させる。
【0011】
本発明者らは、上記目的を達成する方法について次のように考察した。即ち、プラズマCVDでSiN を成膜する場合に、段差被覆性を支配している要因は、プラズマ中でシラン(SiH4)ガスとアンモニア(NH3) が反応してできた成膜前駆体が基板表面に到達したときの表面マイグレーション距離にあると考えられる。プラズマCVD反応槽中の反応ガスの成分のうち、窒素(N2)ガスの割合が減ると、反応に関与するガスの反応性が高まり、シラン(SiH4)とアンモニア(NH3) の反応が促進されて、SiとN の結合が多く、しかもH 終端の多い高分子状態の成膜前駆体になると考えられる。このような前駆体は基板表面との相互作用が弱く、基板表面上での表面マイグレーション性が出ると考えられ、段差を容易に埋める。
【0012】
また、従来の経験から、紫外線の吸収端波長を波長254nm よりも短くするには、アンモニア(NH3) ガスに対するシラン(SiH4)ガスの流量を小さくすることで、含有H を少なくすれば良い。更に、膜応力を低い圧縮応力にするために、前記のように表面マイグレーションを活発にすることにより応力緩和を実現し、同時に成膜時のRFパワーを小さくすることによりイオンボンバードメントの影響を少なくすれば良い。
【0013】
以上の考えをもとに、シラン(SiH4)ガス、アンモニア(NH3) ガス、窒素(N2)ガス流量比、RFパワー密度について詳細に検討したところ、窒素(N2)ガスに対するシラン(SiH4)ガス+アンモニア(NH3) ガスの流量比を0.0560以上、アンモニア(NH3) ガスに対するシラン(SiH4)ガスの混合比を1.7 以下の条件下で成膜することで、吸収端波長が波長254nm よりも短く、段差被覆性が良好であることを確認した。また、RFパワー密度を1.39〜2.06W/cm2 とすることで低応力が実現できることを確認した。
【0014】
ここで、段差被覆性については、図1(a)に示すような結果を得た。
図1(a)及び図2において、段差被覆率とは、図5に示すSiN 膜の平坦部の厚みaと段差部のSiN 膜の最も薄い部分すなわち段差部26にできたスリットの最もAl電極24に近い距離bとの比(b/a) で定義され、この段差被覆率が大きい程、良い被覆状態である。そして、図2に示すように、この段差被覆率が56%以上の領域は、加速劣化耐久試験(プレッシャークッカーテスト等)で十分耐久性が出ていることが判った。又、図1(a)及び図2に示す結果から、(シラン(SiH4)ガス+アンモニア(NH3) ガス)/窒素(N2)ガス流量比R1 が0.0560以上であれば、耐久性のある56%以上の段差被覆率を確保できることがわかる。
【0015】
本発明者らは、上記成膜条件で形成されたSiN 膜を詳細に調べることにより、上記目的を満足するSiN の膜質を明らかにすることとした。その考え方を以下に記述する。SiN の光学的な吸収端波長は組成比Si/NとH 含有量から決まる。また、応力は膜組成(組成比Si/NとH 含有量)と膜の結晶学的構造から決まると考えられる。段差被覆率は表面マイグレーションを表す物性から決まると考えられる。結晶学的構造と表面マイグレーションを表す物性を明らかにするために種々の分析を行い、AFM(原子間顕微鏡)による表面形態を観察すことでそれらが把握されることができることを明らかにした。その一例を図15と図17に示す。
【0016】
図15はナノスリットの発生したSiN 膜の表面形態をAFMで測定した像であり、図17はナノスリットの発生しなかったSiN 膜の表面形態をAFMで測定した像である。SiN はアモルファス構造であるにもかかわらず、金属薄膜と同様の結晶粒を形成していることがわかる。表面マイグレーション性の高い段差被覆率の良好なSiN 膜ほど、結晶粒の面積が大きくなっていることがわかる。また、表面マイグレーション性の高い膜、すなわち、平均粒径の大きなSiN 膜ほど成膜中に応力緩和が起こり、低圧縮応力になると考えられる。
【0017】
この結晶状の粒界に囲まれた領域の平均面積を求めてみたところ、図15のSiN 膜では、2.9 ×104nm2となり、また図17のSiN 膜では、5.3 ×104nm2であり、被覆率56%以上のものを調査したところ、4.5 ×104nm2以上となることが分かった。即ち、上記目的を達成するSiN 膜として、光学的吸収端波長を254nm よりも短くし、膜表面の結晶状の粒界に囲まれた領域の平均面積が4.5 ×104nm2以上とすることで、紫外線透過性を有し、低応力であり、かつ、被覆性のよいSiN 膜が得られる。
【0018】
以上のように、光学的吸収端波長が254nm より短く、段差被覆率が56%以上の窒化シリコン膜とすることで、紫外線が透過し、且つ、耐久性の高い膜を得ることができ、例えば、紫外線消去型のROM半導体装置に応用することができる。
【0019】
【発明の実施の形態】
以下、本発明を具体的な実施例に基づいて説明する。
図5は、本発明を適用して、Si基板1の絶縁膜(BPSG)2の表面上に配置されたAl電極23、24を覆うように形成したSiN 膜25の模式的な構成断面図である。2つのAl電極23、24の間の段差部26がとくに被覆性の低下する部分であるが、この領域に形成されたSiN 膜25の形状は、段差中央上部から上に延びる隙間部分27が残されているだけとなっている。従来、埋まりにくい段差底隅領域から延びるナノスリット(図6の38)は形成されなくなり、段差被覆性が向上している。このような構成を実現するために、段差被覆率を支配している要因であるプラズマ中の生成物(成膜前駆体)の移動性を高めるように成膜する。
【0020】
段差被覆率は、図5に示すSiN 膜の平坦部の厚みaと段差部26にできたスリットの最もAl電極24に近い距離bとの比(b/a) で定義される。この段差被覆率が大きいほど、良い被覆状態である。
【0021】
図1(a) は、(シラン(SiH4)ガス+アンモニア(NH3) ガス)/窒素(N2)ガス流量比R1 と段差被覆率との関係を示す。又、図2は、段差被覆率と加速劣化耐久試験(プレッシャクッカテスト)結果との関係を測定したものである。このプレッシャクッカーテストは、湿度90%、温度121 ℃C 、圧力2 気圧、バイアス実使用電圧の条件下に96時間放置し、その後、図6における幅50〜100 Å程度のスリットすなわちナノスリット38があるかないか、およびリーク電流が0.5 μA以上流れるかどうかを調べることで行われた。
【0022】
図1(a) に示すガス流量比と段差被覆率との関係は、ある特定の段差において段差被覆率を測定したものであって、上記に示した段差被覆率は、段差の形状によって値が異なってくる。従って最適条件を求める場合に、最も厳しい段差条件において確実に段差被覆率が高くなる条件を必要とする。このような段差は様々考えられているが、測定上の理由および経験等から、図5に示すように、配線高さAと配線間隔Bとの比が大体1:2程度の形状を代表とする段差26において、段差被覆率および被覆形状の観察を行うことで、ほぼ定量的に最適なSiN 膜成膜の条件を見いだすことができた。従って、図1(b) 及び図2に示すデータは図5に示す模式的断面形状について測定した結果である。
【0023】
図1(a) の主な測定点(a〜d)について述べる。データ点aでは、被覆率が50%程度で、図6に示すようなナノスリットが断面TEM写真で(図示はしない)なおも観測されてやはり不合格であった。流量比がわずかに大きいデータ点bでは、ほぼ図6に示す逆三角形状の隙間37が狭くなってはいるものの、なおもわずかにナノスリットが認められ、加速劣化耐久試験では不合格を示した。そして、流量比の大きいデータ点c以上にて、上記加速劣化耐久試験に合格することが判明した。
【0024】
図2に段差被覆率と耐久性試験結果との関係を示す。また図3に流量比R1 と耐久性試験結果との関係を示す。段差被覆率が56%以上のときに耐久性試験は合格となり、また、流量比R1 が0.0560以上のものについては、耐久試験は合格となった。
従って、段差被覆率b/aが56%以上であれば、段差被覆性が良好であるといえる。
【0025】
また、図5のような成膜にとって厳しいと言える段差形状で、耐久性が保証される範囲として、(シラン(SiH4)ガス+アンモニア(NH3) ガス)/窒素(N2)ガス流量比R1 は0.0560以上であればよいことが判明した。なお、半導体装置の段差形状によっては、この流量比よりも少ない領域で段差被覆率が56%を越えることもある。しかし段差被覆率を上げにくい段差構造で調べているため、どのような状況の段差においても確実に合格となる範囲は上記に示す流量比が0.0560以上であると判定できる。
【0026】
すなわち図5に示す様な段差部26にSiN 膜が堆積される場合において、堆積していく成膜前駆体である、シラン(SiH4)とアンモニア(NH3) の反応生成物−(Sil−Nm−Hn)の分子量が小さいと、基板表面との相互作用が強く、移動性が低いため、段差部26の隅にあたる部分には届きにくく、隅の部分を残すような形で堆積が進むと考えられる。そこで、基板表面との相互作用が弱く、移動性が高いと考えられる高分子の成膜前駆体−(Sil−Nm−Hn)k を得るようにすればよいと考えられる。
【0027】
プラズマCVD法において、反応槽内ではシラン(SiH4)とアンモニア(NH3) および窒素(N2)ガスとの混合ガスが、RF電源の放電エネルギーによってプラズマ化されて分解が進み、生成物(成膜前駆体)を作りながら基板に到達して堆積していく。このプラズマ状態のうちに反応ガスの会合確率を高めて成膜前駆体の分子量を大きくし、しかも H終端の多い構造とするように、従来よりも反応の度合いを大きくさせる。このために、混合ガスの窒素(N2)ガスの比率を少なくしていくと、すなわちシラン(SiH4)、アンモニア(NH3) の流量比を大きくすると、プラズマ中で成膜前駆体−(Sil−Nm−Hn)が大きくなって高分子化した−(Sil−Nm−Hn)k
が得られると考えられる。
【0028】
このl,m,n,kの値は原子の個数を示し、これらの値はもちろん一律ではなく、適度な分布を持つことになるが、詳しい値が観測されているわけではない。しかし、窒素(N2)ガスの存在確率が小さくなれば、当然シラン(SiH4)ガス、アンモニア(NH3) ガスの存在確率が高くなり、それらの会合確率が高まるので、反応が進むと考えられる。
【0029】
このようにして形成した SiN膜25の被覆率が向上していることは、断面のSEM写真もしくはTEM写真をとって確認する。成膜した形状をそのまま断面を形成してTEM写真を撮ってもよいが、ナノスリットは文字通り微細なので観測しにくい。そこで次のようにして確認しても良い。図5に示されるような形状のサンプルで SiN膜を形成したのち、1:1HF(水とフッ酸混合液)によるエッチングで、平坦部分と段差部分とのエッチングレートの比を求めるようにする。すなわち、成膜した状態から一定時間エッチングを行って、その状態の断面のSEM写真を撮って平坦部と段差部のエッチングレートの比をはかり、その値を比較する。従来の図6に示すようにナノスリット38が形成されていると、エッチング液が浸透するため、エッチングが早く進み、平坦部に比べて段差部は大きく穿たれることになる。従って、平坦部と段差部とのエッチングレート比が小さくなれば、ナノスリットは縮小しており、被覆性が向上していると判定できる。
【0030】
図7は、本発明方法で形成された図5に示すようなSiN 膜をエッチングした後の断面のSEM写真の模式図であり、図8は、従来方法で形成された図6に示すようなSiN 膜をエッチングした後の断面のSEM写真の模式図である。尚、図は模式図であるので、エッチングによる寸法変化や比率を正確には示していない。図7、図8において、エッチング後の段差部に凹部57、67が形成されているのが分かる。この凹部57、67の形状は、それぞれの場合において、平坦部と段差部のエッチングレート比を反映したものである。凹部57、67の形状の比較からも明らかなように、本発明ではナノスリットの存在がほとんどないために、エッチングされにくく、充填性すなわち段差被覆率が良好であることがわかる。
【0031】
また窒素(N2)ガスの流量を減少させていくと、段差被覆率は向上するものの、成膜時の圧力維持が不可能になるなどで、紫外線透過性や低膜内応力が実現しなくなることがわかった。この点について、検討したところ、流量比R1 、即ち、(シランガス+アンモニアガス)/窒素ガスが、0.140 までは紫外線透過性がよく、また低応力なSiN 膜が得られた。しかし、窒素ガスの流量をさらに減少させていくと、槽内圧力が安定しなくなり、紫外線透過性が劣化してしまい、実用的なSiN 膜が形成されにくくなってしまう。
【0032】
図4は図1に示したa〜eのサンプルの吸収端波長を調べたものである。図4から耐久試験に合格したc〜eのサンプルの吸収端波長が 254nmよりも短いことが分かる。しかしながら、流量比R1 が大きくなってくると、吸収端波長も長くなることが分かる。流量比R1 が0.14で吸収端波長は236nm となり、流量比R1 が0.14よりも大きくなると吸収端波長が安定して254nm 以下にならなかったり、槽内の圧力が安定せず、SiN 膜の膜応力に問題が生じるといったことがある。
【0033】
従って、基板温度やRFパワー密度等の他の要因で調整したとしても、(SiH4+NH3)/N2 の流量比R1 の上限値はせいぜい0.14程度である。これ以上の値になると、紫外線透過性、膜応力の観点から実用的なSiN 膜が得られなくなる。
【0034】
次に、SiN 膜の膜応力について説明する。
SiN 膜の膜応力は、条件を変えることで、圧縮応力から引っ張り応力まで幅広く変化させることができる。しかしながら、膜応力を引っ張り応力側に調整すると、SiN 膜にクラックが入りやすくなるため、膜応力は圧縮応力側にする必要がある。そして、SiN 膜の膜応力は、特にRFパワー密度と槽内圧力によって大きく変化する。以下にRFパワー密度と膜応力、および槽内圧力と膜応力との関係を説明する。
【0035】
図9にRFパワー密度と膜応力との関係を示す。また、図10に槽内圧力と膜応力との関係を示す。どちらもサンプル数は40程度である。図9,10において、縦軸の膜応力は、圧縮応力を正の値とし、引っ張り応力を負の値とし、また、図中の直線は、データ値から最小2乗法によって求めた回帰直線である。
図9から、RFパワー密度が低下するにつれて、膜応力は引っ張り応力側へ低下していることが分かる。逆に図10から、槽内圧力が低下するにつれて、膜応力は圧縮応力側へシフトしているのが分かる。
【0036】
この膜応力は、他にSiH4/NH3流量比R2 によっても変化する。しかし、その変化度合は、RFパワー密度や圧力の場合に比べると十分小さいものである。RFパワー密度の場合、RFパワー密度が低下すると、膜応力も圧縮応力側から引っ張り応力側にシフトする。また、槽内圧力や流量比R2 は、これらの値が低下する方向で、膜応力は引っ張り応力側から圧縮応力側にシフトし、RFパワー密度とは逆の傾向を示す。従って、RFパワー密度、槽内圧力、流量比R2 をコントロールすることで、膜応力を調整することができる。
【0037】
例えば、一部のデータを基に他の条件を一定にして、RFパワー密度、槽内圧力、流量比R2 をそれぞれ独立に変化させたときの膜応力変化量を求めてみた。RFパワー密度の場合、1000MPa/W/cm2 、槽内圧力の場合、−320MPa/Torr、流量比R2 の場合、−69MPa/ R2 となった。尚、この値はあくまで参考程度であり、変化の度合いなどの傾向を示すものである。
【0038】
しかしながら、RFパワー密度、槽内圧力、流量比R2 は、それぞれ独立して膜応力を調整できるものではなく、互いに影響しているので、その調整は単純にはできない。尚、RFパワー密度は、紫外線透過性にはあまり影響をおよぼさないため、所望の膜応力を得るための必要範囲が求められる。図9において、パワー密度が1.7 W/cm2 以下で引っ張り応力側にシフトしているサンプルも、槽内圧力や流量比R2 を紫外線透過性を考慮して上昇させることで、圧縮応力側へシフトさせることができ、パワー密度を1.39W/cm2 まで低下させることができた。それよりパワー密度を低下させた場合には、槽内圧力や流量比R2 を紫外線透過性を考慮して調節しても膜応力を圧縮応力側へシフトさせることができなかった。
【0039】
また、圧縮応力側でも膜応力を200MPaより小さくすることが望ましい。SiN 膜をAl配線上に形成する場合、SiN 膜の応力によってAl配線にAlボイドと呼ばれる欠陥が発生することを考慮しなければならない。そして、配線幅2 μm以下のAl配線に対して、ボイドが実質的に発生しないSiN 膜を形成するためにはSiN 膜の応力値200MPaよりも小さくする必要がある(特開平6−333922号公報参照)。図9を見ると、膜応力を200MPa以下とするためには、RFパワー密度を1.85W/cm2 以下にする必要がある。そこで、槽内圧力や流量比R2 を紫外線透過性を考慮して減少させることで、膜応力を200MPaとするためにRFパワー密度を2.06まで上昇させることができる。
なお、被覆性や紫外線透過性の要因が最も良い状態とするために槽内圧力やSiH4/NH3流量比R2 を所定値にする際には、RFパワー密度を1.60〜1.80W/cm2 にすることが望ましい。
【0040】
また、槽内圧力においては、図10に示すように、槽内圧力が増加するにつれて膜応力が引っ張り側へシフトしていくことが分かる。尚、図10にて槽内圧力が 5.2Torrの時に膜応力が600MPaとなっているものがあるが、これは、RFパワー密度が2.167W/cm2と大きいときである。また、図9においてもパワー密度が1.7W/cm2のときに、膜応力が800MPaとなっているものがあるが、これは槽内圧力が3.0Torr と小さいときであった。従って、膜応力にはRFパワーおよび槽内圧力が大きく影響しており、膜応力を槽内圧力のみ、あるいは、RFパワー密度のみで決められるものではない。
【0041】
また、図11に槽内圧力を変化させたときの紫外線(図中ではUV)が透過するかそうでないかを、紫外線を照射した場合にEPROMのフローティ ングゲートから電子が消去される時間と吸収端波長が254nm よりも短いか長いかで評価した。この評価基準は後で説明する。図11から、5.0Torr が紫外線が透過する場合と、透過しない場合との境界であることが分かる。
【0042】
尚、槽内圧力が5.0 、あるいは、5.2Torr で紫外線を透過しなかった理由として、SiH4/NH3流量比R2 が、2.0 よりも高かったことが挙げられる。尚、槽内圧力が3.0Torr あるいは6.0Torr 付近のところに見られる白丸は、EPROMの電子消去特性を試験したものではなく、光学的吸収端波長が254nm よりも短いか長いか評価したものである。図中で光学的吸収端波長が254nm よりも短いときは、紫外線が透過する方とし、光学的吸収端波長が254nm よりも長いときは紫外線が透過しない方とした。尚、図11では、光学的吸収端波長について3つしかデータが見られないが、実際には、多数存在しており、紫外線が透過したかしないかを記した黒丸と重なったため、図中では見えなくなっている。
【0043】
光学的吸収端の観点から図11を見ると、紫外線透過性は、槽内圧力が6.0Torr のところまで良かったことが分かる。更に、図10の膜応力との関係から、RFパワー密度等を考慮して応力を200MPa程度とするためには、槽内圧力を6.0 以下にすることが望ましい。以上から、槽内圧力を5.0 〜6.0Torr にするとよい。尚、この時、光学的吸収端波長は、254nm よりも短くなり、210 〜240nm とすることができた。以上から、槽内圧力が、膜応力や紫外線透過性に影響を及ぼすことがわかる。
【0044】
しかし、前述したように、(SiH4 +NH3)/N2の流量比R1 が0.14を越えると、槽内圧力が不安定になることで、UV透過性が低下したり、SiN 膜の膜応力が所望の範囲内に制御できなかったりする。よって、槽内圧力の関係から流量比R1 は、その上限を0.14とするのが望ましい。
【0045】
次に、SiH4/NH3流量比R2 に関する紫外線透過性について検討する。この紫外線透過性の良否判定は波長が約254nm の水銀ランプをEPROMに照射して30分以内にフローティングゲートから電子が消去されたかどうかによって判定した。この30分というのは、実用的な消去工程として設定できる最大の時間としてみなしたものである。この結果、図12に示すように、SiH4/NH3流量比R2 が1.7 までは、良好な消去特性を示したがそれよりも大きくなると消去時間が30分を大きく超えてしまい(流量比R2 が2.0 で5 時間程度)、実用的でないことが判明した。
【0046】
尚、図中で流量比R2 が1 のときに紫外線が透過しなかったのは、槽内圧力が4.5Torr と低かったためと考えられる。また、図中の白丸は、図11と同様に、光学的吸収端波長が254nm よりも短いか長いかをみたものであり、流量比R2 が大きいと、光学的吸収端波長が254nm よりも長くなることが分かる。
また、図13に示すように、光学的吸収端波長を調べてみたところ、流量比R2 が1.7 を越えると、吸収端波長が254nm よりも長くなることがわかる。
以上のことから、光学的吸収端波長を254nm よりも短くするためには、SiH4/NH3流量比R2 を1.7 よりも小さくすればよいことが分かる。
【0047】
また、逆に、流量比R2 を小さくしていくと、図14に示すように、膜密度が低下してしまう。この膜密度が低下すると、膜質が低下し、エッチングレートが増加するなど、保護膜の特性として好ましくない。この点を考慮して、流量比R2 の下限は、0.8 程度となる。以上のことから、SiH4/NH3流量比R2 は0.8 〜1.7 とするのが望ましい。尚、このとき、光学的吸収端波長は、254nm よりも短くなり、210 〜240nm とすることができた。
【0048】
このような条件を満たす SiN膜25の形成方法は、一例として次のような主な工程で成膜される。プラズマCVDによるSiN 膜の成膜には、7つの要因が関与しており、それらの相互作用で成膜状態が決定される。その7つの要因とは、RFパワー密度、シランガス流量、アンモニアガス流量、窒素ガス流量、基板温度、反応ガス圧力、および電極間距離である。この内、電極間距離は発明者らの調査で段差被覆率に大きな影響が無く、ここでは特定せずに固定して成膜するものとする。以上のように関係する要因が多数あることから、最適成膜条件として規定することが難しく、十分解明されていない。しかしながら、幾つかの条件が経験的に明らかにされたので、そのうち実施例に適応した条件を示す。
【0049】
(1) まず図20に示すような、プラズマCVD装置の反応槽91内の下部電極93上に、成膜する半導体装置の基板1を設置する。
(2) 反応槽91内を排気バルブ97から真空にして、上部電極を兼ねた反応ガス導入口92から、シラン(SiH4)ガス、アンモニア(NH3) ガス、窒素(N2)ガスの混合ガス95を導入する。このとき、例えばシラン(SiH4)ガス75sccm、アンモニア(NH3) ガス65sccm、窒素(N2)ガス1500sccmといった流量、槽内圧力 5Torr程度に設定する。この場合、シラン(SiH4)・アンモニア(NH3) /窒素(N2)の流量比は約0.09となり、充分被覆率を高める条件となっている。また、UV透過性を決めるシラン(SiH4)/アンモニア(NH3) 比は約1.1 であり、充分UV透過性が保証される。
(3) 半導体基板を乗せた下部電極93をヒータ96で温めて半導体基板1をおよそ360 ℃に維持し、プラズマ98を発生させるRF電源94のパワーを適度に1.60〜1.80W/cm2 にとって成膜を実施する。このようにして、屈折率1.91±0.01の良質な SiN膜25が得られる。尚、パワー密度は、RF電源94から生じるパワー(Watt)を基板1が搭載される下部電極93の面積で割った値である。
【0050】
なお、上記に示した条件のうち、RFパワー密度は1.39〜2.06W/cm2 、槽内圧力は5.0 〜6.0Torr 、基板温度は300 〜360 ℃、シラン(SiH4)ガス流量は60〜100sccm 、アンモニア(NH3) ガス流量は50〜120sccm 、窒素(N2)ガス流量は1000〜3500sccm、として条件にあわせるようにしてもよい。
【0051】
さらに、原子力顕微鏡(AFM)でSiN 膜の表面を観察してみたところ、図15〜図18に示されるように、表面形状にも特徴点を見いだすことができた。尚、今回使用したAFMは、Digital instruments Inc.社製のNanoscope IIであり、カンチレバーにはAu−Co コーティングのSi3N4 を用いた。
【0052】
図15は、ナノスリットの発生したSiN 膜の表面形態をAFMで観測した像であり、図17はナノスリットの発生しなかったSiN 膜の表面形態をAFMで観測した像である。これらの像からプラズマによって生成したSiN 膜は、アモルファス構造であるにも関わらず、スパッタリンッグや蒸着により形成したAlやTi,Siなどの多結晶膜と同様の表面形態を示し、結晶粒状の集合体であることが分かる。これらの結晶粒状の境界の最も高さの低い点を結晶粒界と定義し、これら結晶粒界に囲まれた部分を結晶粒と定義する。図16及び図18は、それぞれ図15及び図17から結晶粒をAFM像からトレースしたものである。この結晶粒のAFM像から見た面積を画像処理によって計算し、これを結晶粒面積と呼ぶこととする。画像処理によって観察したのはSiN 膜表面面積2000nm×2000nm内に存在する結晶粒全てについて計算し、その平均値を調べた。これを平均結晶粒面積と呼ぶこととする。
【0053】
図15及び図17に示される平均結晶粒面積は2.9 ×104nm2と5.3 ×104nm2であった。また、上記条件で成膜したSiN 膜について調べたところ、平均結晶粒面積は4.5 ×104 nm2 以上となることが分かった。図19には、図1で示されたサンプルの各平均結晶粒面積を求めたものを示した。(SiH4 +NH3)/N2流量比R1 が0.0560であるサンプルcの面積が 4.5×104nm2となり、流量比R1 が0.14であるサンプルeの面積が 1.1×105nm2となった。即ち、平均結晶粒面積が4.5 ×104 nm2 以上のSiN 膜は、紫外線透過性を有し低圧縮応力であり、且つ、被覆性のよいSiN 膜となると言える。
【0054】
(第二実施例)
紫外線消去型ROM であるEPROM 等の不揮発性記憶素子を有する半導体素子において、記憶を消去して閾値電圧を再び低くするには、紫外線(以下UVと記す)光照射が用いられている。図21は、そのようなEPROM の一部を示す模式的な断面構成図である。その構成は、Si基板41上にフローティングゲート42およびコントロールゲート43がセルフアラインで絶縁層を介して形成され、層間絶縁膜44で保護されている。さらにAl配線45が施され、その上に最終保護膜として本発明の、プラズマCVD法で成膜された SiN膜46が形成されている。
【0055】
このフローティングゲート42に電荷が溜まり、記憶状態となった装置に対して SiN膜46の上から波長が約254nm のUV線を照射して電荷を放出させ、記憶状態を開放させる。この SiN膜46は構成元素であるSiとN の比 Si/N を小さくしてあり、膜中のSi−Si 結合量が小さくしてあるため、UV線透過率は大きくなっている。また、近年の微細配線(例えば線幅2μm以下)を適用したICのAlボイド抑制の観点から SiN膜46の膜応力を200Pa 以下の圧縮応力にすることがよく、ここで用いている SiN膜46もそのように成膜したものである。さらに本発明においては、上記の条件に加えて、段差被覆率の良い条件で SiN膜46を成膜してあるため、図21中に何箇所か見受けられる段差部にナノスリットが形成されることなく、3つの条件を兼ね備えた保護膜で装置が保護され、耐久性、信頼性が向上している。
【図面の簡単な説明】
【図1】SiN膜を成膜するプラズマCVD法における、段差被覆率のSiH4+NH3/N2比依存性を測定した特性図。
【図2】SiN膜の耐久性試験結果とその膜の段差被覆率との関係を測定した特性図。
【図3】SiN膜の耐久性試験結果とSiH4+NH3/N2比との関係を測定した特性図。
【図4】SiN膜の吸収端波長とSiH4+NH3/N2比との関係を測定した特性図。
【図5】本発明を適用して成膜した SiN膜の断面SEM写真の模式図。
【図6】従来の製法による SiN膜の断面SEM写真の模式図。
【図7】1:1HF エッチングを行った本発明SiN 膜の断面SEM写真の模式図。
【図8】1:1HF エッチングを行った従来SiN 膜の断面SEM写真の模式図。
【図9】プラズマCVDにおけるパワー密度と成膜されたSiN 膜の膜応力との関係を測定した特性図。
【図10】プラズマCVDにおける反応槽内の圧力と成膜されたSiN 膜の膜応力との関係を測定した特性図。
【図11】プラズマCVDにおける反応槽内の圧力と成膜されたSiN 膜の紫外線透過及び吸収端との関係を測定した特性図。
【図12】プラズマCVDにおけるSiH4/NH3比と成膜されたSiN 膜の紫外線透過及び吸収端との関係を測定した特性図。
【図13】プラズマCVDにおけるSiH4/NH3比と成膜されたSiN 膜の吸収端波長との関係を測定した特性図。
【図14】プラズマCVDにおけるSiH4/NH3比と成膜されたSiN 膜の膜密度との関係を測定した特性図。
【図15】AFMにより観測されたナノスリットが存在したSiN 膜の表面の結晶構造を示す写真。
【図16】その写真の像のトレースにより結晶粒界を示した説明図。
【図17】AFMにより観測されたナノスリットが存在しないSiN 膜の表面の結晶構造を示す写真。
【図18】その写真の像のトレースにより結晶粒界を示した説明図。
【図19】プラズマCVDにおけるSiH4+NH3/N2比とグレイン面積との関係を測定した特性図。
【図20】プラズマCVD反応槽の模式図。
【図21】第二実施例のEPROM の模式的構成断面図。
【符号の説明】
1 Si基板
2 BPSG
23、24 Al配線
25 Si3N4 膜(SiN 膜)
26 段差部
27、37 隙間部分(スリット)
38、39 ナノスリット
57、67 エッチング後の隙間部分
91 プラズマCVDの反応槽
92 反応ガス導入口を兼ねた上部電極
93 下部電極
94 RF電源
96 ヒータ
97 排気バルブ
98 プラズマ
Claims (1)
- 紫外線を透過すべき窒化シリコン膜(SiXNYHZ)を有する半導体装置の製造方法であって、
前記窒化シリコン膜は、シラン(SiH4)ガス、アンモニア(NH3)ガス、窒素(N2)ガスの混合ガスのプラズマ化によって形成するものであり、
前記窒素ガスに対するシランガス+アンモニアガスの流量比を0.0560以上とし、前記アンモニアガスに対するシランガスの流量比を1.7以下とし、前記プラズマを生成するためのRFパワー密度を1.39〜2.06W/cm2 とし、前記プラズマを生成する生成室内の圧力を5.0〜6.0Torrとすることを特徴とする窒化シリコン膜を有する半導体装置の製造方法。
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP25563595A JP3632256B2 (ja) | 1994-09-30 | 1995-09-06 | 窒化シリコン膜を有する半導体装置の製造方法 |
US08/534,976 US5592004A (en) | 1994-09-30 | 1995-09-28 | Silicon nitride film having a short absorption wavelength and surrounding crystal-like grain boundaries |
US08/698,841 US5877095A (en) | 1994-09-30 | 1996-08-16 | Method of fabricating a semiconductor device having a silicon nitride film made of silane, ammonia and nitrogen |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP26159594 | 1994-09-30 | ||
JP6-261595 | 1994-09-30 | ||
JP25563595A JP3632256B2 (ja) | 1994-09-30 | 1995-09-06 | 窒化シリコン膜を有する半導体装置の製造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH08153718A JPH08153718A (ja) | 1996-06-11 |
JP3632256B2 true JP3632256B2 (ja) | 2005-03-23 |
Family
ID=26542335
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP25563595A Expired - Fee Related JP3632256B2 (ja) | 1994-09-30 | 1995-09-06 | 窒化シリコン膜を有する半導体装置の製造方法 |
Country Status (2)
Country | Link |
---|---|
US (2) | US5592004A (ja) |
JP (1) | JP3632256B2 (ja) |
Families Citing this family (393)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5578517A (en) * | 1994-10-24 | 1996-11-26 | Taiwan Semiconductor Manufacturing Company Ltd. | Method of forming a highly transparent silicon rich nitride protective layer for a fuse window |
JP3432997B2 (ja) * | 1996-04-23 | 2003-08-04 | 株式会社東芝 | 半導体装置に使用する絶縁膜 |
JP3001454B2 (ja) * | 1997-04-23 | 2000-01-24 | 日本電気アイシーマイコンシステム株式会社 | 半導体装置 |
US5940735A (en) * | 1997-08-25 | 1999-08-17 | Advanced Micro Devices, Inc. | Reduction of charge loss in nonvolatile memory cells by phosphorus implantation into PECVD nitride/oxynitride films |
KR100274601B1 (ko) * | 1997-11-11 | 2001-02-01 | 윤종용 | 반도체장치의식각마스크형성방법 |
US6373114B1 (en) * | 1998-10-23 | 2002-04-16 | Micron Technology, Inc. | Barrier in gate stack for improved gate dielectric integrity |
JP4597479B2 (ja) | 2000-11-22 | 2010-12-15 | ルネサスエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
KR20030064083A (ko) * | 2002-01-25 | 2003-07-31 | 삼성전자주식회사 | 원자층 적층을 이용하여 실리콘 나이트라이드 박막을형성하는 방법 |
EP1398830A1 (en) * | 2002-09-12 | 2004-03-17 | STMicroelectronics S.r.l. | Process for the contact opening definition for the active element electric connections |
US6774059B1 (en) * | 2003-04-16 | 2004-08-10 | Taiwan Semiconductor Manufacturing Company | High crack resistance nitride process |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US20060105106A1 (en) * | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US7247582B2 (en) * | 2005-05-23 | 2007-07-24 | Applied Materials, Inc. | Deposition of tensile and compressive stressed materials |
WO2007139142A1 (ja) * | 2006-05-31 | 2007-12-06 | Tokyo Electron Limited | プラズマcvd方法、窒化珪素膜の形成方法、半導体装置の製造方法およびプラズマcvd装置 |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US7851232B2 (en) * | 2006-10-30 | 2010-12-14 | Novellus Systems, Inc. | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
US9456925B2 (en) * | 2007-09-06 | 2016-10-04 | Alcon Lensx, Inc. | Photodisruptive laser treatment of the crystalline lens |
JP5069531B2 (ja) * | 2007-09-28 | 2012-11-07 | 富士フイルム株式会社 | 窒化シリコン膜の形成方法 |
US20090159958A1 (en) * | 2007-12-20 | 2009-06-25 | Spansion Llc | Electronic device including a silicon nitride layer and a process of forming the same |
US7906817B1 (en) | 2008-06-06 | 2011-03-15 | Novellus Systems, Inc. | High compressive stress carbon liners for MOS devices |
US7998881B1 (en) | 2008-06-06 | 2011-08-16 | Novellus Systems, Inc. | Method for making high stress boron-doped carbon films |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US20110012229A1 (en) * | 2009-07-14 | 2011-01-20 | United Microelectronics Corp. | Semiconductor device with capacitor and method of fabricating the same |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8563095B2 (en) * | 2010-03-15 | 2013-10-22 | Applied Materials, Inc. | Silicon nitride passivation layer for covering high aspect ratio features |
US8288292B2 (en) | 2010-03-30 | 2012-10-16 | Novellus Systems, Inc. | Depositing conformal boron nitride film by CVD without plasma |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US8785215B2 (en) * | 2012-05-31 | 2014-07-22 | Asm Ip Holding B.V. | Method for repairing damage of dielectric film by cyclic processes |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
KR20160108565A (ko) * | 2014-09-19 | 2016-09-19 | 미쓰이금속광업주식회사 | 표면 처리 구리박 및 그 제조 방법, 프린트 배선판용 동장 적층판, 그리고 프린트 배선판 |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI791689B (zh) | 2017-11-27 | 2023-02-11 | 荷蘭商Asm智慧財產控股私人有限公司 | 包括潔淨迷你環境之裝置 |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10515905B1 (en) * | 2018-06-18 | 2019-12-24 | Raytheon Company | Semiconductor device with anti-deflection layers |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4089992A (en) * | 1965-10-11 | 1978-05-16 | International Business Machines Corporation | Method for depositing continuous pinhole free silicon nitride films and products produced thereby |
JPS6047202B2 (ja) * | 1976-01-13 | 1985-10-21 | 東北大学金属材料研究所長 | 超硬高純度の配向多結晶質窒化珪素 |
US4142004A (en) * | 1976-01-22 | 1979-02-27 | Bell Telephone Laboratories, Incorporated | Method of coating semiconductor substrates |
JPS5642377A (en) * | 1979-09-14 | 1981-04-20 | Fujitsu Ltd | Ultraviolet ray erasable type rewritable read-only memory |
JPS5783065A (en) * | 1980-11-10 | 1982-05-24 | Mitsubishi Electric Corp | Uv eliminating type semiconductor memory |
US4342617A (en) * | 1981-02-23 | 1982-08-03 | Intel Corporation | Process for forming opening having tapered sides in a plasma nitride layer |
JPS57177555A (en) * | 1981-04-27 | 1982-11-01 | Hitachi Ltd | Semiconductor device |
JPS598341A (ja) * | 1982-07-06 | 1984-01-17 | Toshiba Corp | 半導体装置 |
JPS5934653A (ja) * | 1982-08-21 | 1984-02-25 | Mitsubishi Electric Corp | 半導体装置 |
JPS61117841A (ja) * | 1984-11-14 | 1986-06-05 | Hitachi Ltd | シリコン窒化膜の形成方法 |
US4618541A (en) * | 1984-12-21 | 1986-10-21 | Advanced Micro Devices, Inc. | Method of forming a silicon nitride film transparent to ultraviolet radiation and resulting article |
US4665426A (en) * | 1985-02-01 | 1987-05-12 | Advanced Micro Devices, Inc. | EPROM with ultraviolet radiation transparent silicon nitride passivation layer |
JPS6353703A (ja) * | 1986-08-22 | 1988-03-08 | Sony Corp | 高周波変調磁界発生装置 |
JPS6428869A (en) * | 1987-07-23 | 1989-01-31 | Mitsubishi Electric Corp | Semiconductor device |
JPH01134935A (ja) * | 1987-11-20 | 1989-05-26 | Fujitsu Ltd | 半導体装置の製造方法 |
JPH01185926A (ja) * | 1988-01-20 | 1989-07-25 | Nec Corp | 窒化シリコン膜の製造方法 |
US4933206A (en) * | 1988-08-17 | 1990-06-12 | Intel Corporation | UV-vis characteristic writing in silicon nitride and oxynitride films |
JPH02148843A (ja) * | 1988-11-30 | 1990-06-07 | Fujitsu Ltd | 半導体装置の製造方法 |
JPH02162773A (ja) * | 1988-12-16 | 1990-06-22 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2515043B2 (ja) * | 1989-07-14 | 1996-07-10 | 株式会社東芝 | 紫外線消去型不揮発性半導体記憶装置 |
US5098865A (en) * | 1989-11-02 | 1992-03-24 | Machado Jose R | High step coverage silicon oxide thin films |
JPH056890A (ja) * | 1990-10-15 | 1993-01-14 | Seiko Epson Corp | パツシベーシヨン多層膜を備えた半導体装置及びその製造方法 |
US5260236A (en) * | 1991-06-07 | 1993-11-09 | Intel Corporation | UV transparent oxynitride deposition in single wafer PECVD system |
JPH05109729A (ja) * | 1991-10-18 | 1993-04-30 | Seiko Epson Corp | 半導体装置 |
JP2970371B2 (ja) * | 1993-01-14 | 1999-11-02 | 株式会社デンソー | 紫外線消去型rom保護膜 |
JP2778414B2 (ja) * | 1993-05-19 | 1998-07-23 | 株式会社デンソー | 装置保護膜の製造方法 |
TW319892B (ja) * | 1993-07-14 | 1997-11-11 | Omi Tadahiro |
-
1995
- 1995-09-06 JP JP25563595A patent/JP3632256B2/ja not_active Expired - Fee Related
- 1995-09-28 US US08/534,976 patent/US5592004A/en not_active Expired - Lifetime
-
1996
- 1996-08-16 US US08/698,841 patent/US5877095A/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
US5877095A (en) | 1999-03-02 |
US5592004A (en) | 1997-01-07 |
JPH08153718A (ja) | 1996-06-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3632256B2 (ja) | 窒化シリコン膜を有する半導体装置の製造方法 | |
US6531193B2 (en) | Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications | |
EP0025717B1 (en) | A semiconductor device comprising two insulating films and process for producing the same | |
JPH06177123A (ja) | 絶縁体の堆積方法 | |
US5064779A (en) | Method of manufacturing polycrystalline silicon film | |
JPH11251313A (ja) | 下地表面改質方法及び半導体装置の製造方法 | |
JP3914362B2 (ja) | タンタル酸化膜を備えたキャパシタ製造方法 | |
JP2002047568A (ja) | アモルファスシリコンの化学蒸着法及び得られる薄膜 | |
Chopade et al. | RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition | |
JPS59100561A (ja) | 半導体装置およびその製造法 | |
KR100636022B1 (ko) | 반도체 장치의 박막 형성 방법 및 이를 이용한 불휘발성메모리 장치의 제조 방법. | |
US20100035417A1 (en) | Method of fabricating polycrystalline silicon thin film | |
Fukai et al. | Enhanced crystallinity at initial growth stage of microcrystalline silicon on corning# 7059 glass using SiH2Cl2 | |
Nunomura et al. | Precursor flux-dependent microstructure of thin-film silicon prepared by hydrogen diluted silane discharge plasmas | |
US5208189A (en) | Process for plugging defects in a dielectric layer of a semiconductor device | |
Strass et al. | Fabrication and characterisation of thin low-temperature MBE-compatible silicon oxides of different stoichiometry | |
Park et al. | Low temperature silicon dioxide film deposition by remote plasma enhanced chemical vapor deposition: growth mechanism | |
KR101012102B1 (ko) | 극미세 결정립 폴리 실리콘 박막 증착 방법 | |
Jayatissa et al. | Microcrystalline structure of poly-Si films prepared by cathode-type rf glow discharge | |
US20240222114A1 (en) | Method of forming a conformal and continuous crystalline silicon nanosheet with improved electrical properties at low doping levels | |
Cho et al. | The Enhancement Mechanisms of SiO x Hardness via Manipulation of Oxygen Content | |
TWI386512B (zh) | 薄膜電晶體用之黏著層 | |
JPH0697079A (ja) | 非晶質シリコンの製造方法 | |
TW202432867A (zh) | 形成在低摻雜水平下具有改善的電特性的保形且連續結晶矽奈米片之方法 | |
Semenova et al. | Deposition regularity and some properties of silicon dioxide films from monosilane oxidation |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20040203 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040402 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20040817 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040910 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20041104 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20041130 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20041213 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20080107 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110107 Year of fee payment: 6 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120107 Year of fee payment: 7 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130107 Year of fee payment: 8 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140107 Year of fee payment: 9 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |