CN100523291C - 薄膜形成方法及薄膜形成装置 - Google Patents
薄膜形成方法及薄膜形成装置 Download PDFInfo
- Publication number
- CN100523291C CN100523291C CNB2005800266875A CN200580026687A CN100523291C CN 100523291 C CN100523291 C CN 100523291C CN B2005800266875 A CNB2005800266875 A CN B2005800266875A CN 200580026687 A CN200580026687 A CN 200580026687A CN 100523291 C CN100523291 C CN 100523291C
- Authority
- CN
- China
- Prior art keywords
- valve opening
- valve
- gas
- processing vessel
- value
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 60
- 239000010409 thin film Substances 0.000 title abstract 3
- 239000007789 gas Substances 0.000 claims abstract description 175
- 238000012545 processing Methods 0.000 claims abstract description 149
- 239000000758 substrate Substances 0.000 claims abstract description 30
- 238000006243 chemical reaction Methods 0.000 claims abstract description 14
- 230000008569 process Effects 0.000 claims abstract description 11
- 230000002829 reductive effect Effects 0.000 claims abstract description 3
- 239000000376 reactant Substances 0.000 claims description 37
- 230000015572 biosynthetic process Effects 0.000 claims description 35
- 238000011156 evaluation Methods 0.000 claims description 16
- 238000012360 testing method Methods 0.000 claims description 16
- 238000010408 sweeping Methods 0.000 claims description 12
- 230000008859 change Effects 0.000 claims description 8
- 238000004364 calculation method Methods 0.000 claims description 7
- 238000005070 sampling Methods 0.000 claims description 5
- 150000001875 compounds Chemical class 0.000 claims description 4
- 238000013461 design Methods 0.000 claims description 3
- 150000003254 radicals Chemical class 0.000 claims description 2
- 239000002994 raw material Substances 0.000 claims description 2
- 239000012495 reaction gas Substances 0.000 abstract description 5
- 239000010408 film Substances 0.000 abstract 4
- 238000000231 atomic layer deposition Methods 0.000 description 57
- 201000011452 Adrenoleukodystrophy Diseases 0.000 description 56
- 208000010796 X-linked adrenoleukodystrophy Diseases 0.000 description 56
- 239000004065 semiconductor Substances 0.000 description 17
- 238000005755 formation reaction Methods 0.000 description 12
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 11
- 238000010926 purge Methods 0.000 description 10
- 238000010792 warming Methods 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 6
- 230000000694 effects Effects 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000001143 conditioned effect Effects 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 238000010586 diagram Methods 0.000 description 3
- 230000008676 import Effects 0.000 description 3
- 238000003754 machining Methods 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 229910000856 hastalloy Inorganic materials 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000000717 retained effect Effects 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 229910001256 stainless steel alloy Inorganic materials 0.000 description 2
- 238000013022 venting Methods 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 238000002309 gasification Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- GPRLSGONYQIRFK-UHFFFAOYSA-N hydron Chemical compound [H+] GPRLSGONYQIRFK-UHFFFAOYSA-N 0.000 description 1
- OUUQCZGPVNCOIJ-UHFFFAOYSA-N hydroperoxyl Chemical compound O[O] OUUQCZGPVNCOIJ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910000765 intermetallic Inorganic materials 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 230000002285 radioactive effect Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000009666 routine test Methods 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 230000002123 temporal effect Effects 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45557—Pulsed pressure or control pressure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3141—Deposition using atomic layer deposition techniques [ALD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Electromagnetism (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
本发明是一种在连接能够减压的处理容器和真空泵的排气通路上设置传导阀,将被处理基板配置在上述处理容器内,在成膜处理时间内,使包括供给第一反应气体的第一步骤与供给第二反应气体的第二步骤的循环进行一次或反复多次,利用上述第一反应气体与上述第二反应气体之间的化学反应在上述基板上形成膜的薄膜形成方法,采用了如下薄膜形成方法,其包括:第一工序,在先于上述成膜处理时间的开始的准备时间内,为上述处理容器内进行排气,同时将所期望的气体以设定流量供给至上述处理容器内,对在上述处理容器内的压力基本与设定值一致时的上述传导阀的阀门开度进行鉴定并作为标准值;和第二工序,在上述成膜处理时间中的各个上述循环中,至少在上述第一及第二步骤中将上述传导阀的阀门开度保持在上述标准值。
Description
技术领域
本发明涉及将薄膜形成在被处理基板上的成膜技术,特别涉及利用原子层气相成长(ALD:Atomic Layer Deposition)法的薄膜形成方法及其装置。
背景技术
在半导体制造装置中,处理容器内的压力控制是极其重要的技术。在现有技术中,在等离子体CVD装置或减压CVD装置等的化学气相淀积法(chemical vapor deposition)的薄膜形成装置中,为了控制处理容器内的压力而广泛使用APC(自动压力控制)(例如参照专利文献1)。
这种APC,一般在连接处理容器与真空泵的排气通路上设置例如由蝶形阀(butterfly valve)而构成的传导阀(conductance valve),通过压力反馈(pressure feedback)方式对该传导阀的阀门开度进行可变控制。更详细的说,在控制器的控制下通过发动机将传导阀的阀门开度,控制在一定范围内,例如0°(全闭)~90°(全开)的范围内,可以任意改变开度数值,将安装在处理容器内的真空计等的压力检测器的输出信号(压力瞬时值)反馈回控制器,控制器通过发动机对传导阀的阀门开度进行可变控制,以使得压力测定值与设定值一致。
另外,在最近的半导体设备的制造中,ALD法作为重要的成膜技术受到注目(例如,参照专利文献2)。特别是,用于半导体设备的配线构造体的势垒金属(barrier metal)的成膜,作为MOSFET的栅极(gate)绝缘膜或者电容器(capacitor)的电容绝缘膜而使用的高电容率膜的成膜等,被认为是ALD法有效的应用领域。ALD法在被处理基板上、例如在半导体晶片上使薄膜按照每一个原子层而成长的方式形成上述的导电体膜或绝缘体膜。因此,ALD法,不同于将一定的反应气体基本连续的供给至处理容器内的其他的气相沉积法,按照数秒的时间间隔,将两种反应气体以夹持吹扫、交替断续的方式供给至处理容器内,在一个循环内由于两种反应气体的化学反应而形成一个原子或一个分子的层。通过该循环的反复次数能够对在基板上形成的薄膜的膜厚进行任意的控制。
专利文献1:日本特开平7—142392号公报
专利文献2:日本特开平6—089873号公报
ALD法,可以形成在阶梯覆盖(step coverage)方面性能优异的膜,具有能够更加精确地控制膜厚及晶片面内均匀性等的各种优点。但是,也存在在处理容器内的压力控制方面无法有效利用上述APC的缺点。即,在ALD法中,如上所述,将两种反应气体以夹持吹扫、相互交替、且以数秒为单位断续的方式供给至处理容器内。这里,如果使用APC,因供给气体的频繁更换所产生的大的压力变动,被反馈给APC控制器,由此,传导阀的阀芯抖动而不能跟上,加工压力(process pressure)因而变得不稳定。特别是,在处理容器内将一方的反应气体通过等离子体激发产生反应种的等离子体激发ALD(PEALD:Plasma EnhancedAtomic Layer Deposition),由于不仅反应气体的开启/关闭而且等离子体的开启/关闭也在短周期内频繁的进行,APC容易发生振荡(hunting),加工压力的不稳定变得更加明显,有可能无法控制等离子体的点火。
因此,在ALD装置中,有趋向不使用APC,采用将传导阀的阀门开度固定为一定数值的方式的讨论,并且确认通过该方式,能够稳定的进行期望的ALD成膜。但是,这种阀门开度固定方式,不只需要在ALD装置的制造阶段经过大量反复的试验而找出最佳阀门开度的设定值(固定值),还存在如下所述问题。
第一个问题是:根据ALD装置的设置条件,最佳阀门开度设定值(固定值)发生变化,失去了装置的通用性。例如,根据设置的布局排气系统的配管长度各异,由此排气性能也各不相同。在真空泵等的型式不同时,也产生同样的问题。因此,在生产的再现性方面,较难使阀门开度设定值(固定值)统一适用于各个装置。尽管在各个装置的点火时在现场进行试运行试验找出最佳阀门开度设定值(固定值)也并非不可能,但在ALD装置批量生产线上的设置方面,上述方法决非现实的选择。
第二是存在随时间变化(secular distortion)的问题。当由于ALD装置的整体或局部的随时间变化而排气性能发生变化时,传导阀的最佳阀门开度发生变化,会导致与上述第一问题同样的结果。这里,尽管可以考虑通过定期试验(维修)重新设置或调整阀门开度的设定值(固定值)的对策,但作为在批量生产线上生产的生产装置,会大幅度增加非生产性的停机时间(down time)。
发明内容
本发明就是鉴于上述现有技术的问题而提出的,其目的在于提供一种在能够形成不受处理容器内的气氛的时间变化因素的影响的、稳定压力的同时,在不需要由于设置条件或随时间变化等问题而改变加工方案(process recipe)的内容的方式下能够保证加工再现性的ALD法以及依据于此的方式的薄膜形成方法以及薄膜形成装置。
为了实现上述目的,本发明的薄膜形成方法是在连接能够减压的处理容器和真空泵的排气通路上设置传导阀,将被处理基板配置在所述处理容器内,在成膜处理时间内,使包括供给第一反应气体的第一步骤与供给第二反应气体的第二步骤的循环进行一次或反复多次,利用所述第一反应气体与所述第二反应气体之间的化学反应在所述基板上形成膜的薄膜形成方法,其包括,第一工序,在先于所述成膜处理时间的开始的准备时间内,为所述处理容器内进行排气,同时,将所期望的气体以设定流量供给至所述处理容器内,对在所述处理容器内的压力基本与设定值一致时的所述传导阀的阀门开度进行鉴定并作为标准值;以及第二工序,在所述成膜处理时间中的各个所述循环内,至少在所述第一以及第二步骤中,将所述传导阀的阀门开度保持在所述标准值。
另外,本发明的薄膜形成装置,是在连接能够减压的处理容器和真空泵的排气通路上设置传导阀,将被处理基板配置在所述处理容器内,在成膜处理时间内,使包括供给第一反应气体的步骤与供给第二反应气体的步骤的循环进行一次或反复多次,利用所述第一反应气体与所述第二反应气体之间的化学反应在所述基板上形成膜的薄膜形成装置,其包括,为了使所述处理容器内的压力与设定值一致而通过压力反馈方式对所述传导阀的阀门开度进行可变控制的自动压力控制部;对在所述处理容器内的压力与设定值基本一致时的所述传导阀的阀门开度进行鉴定并作为标准值的阀门开度鉴定部;以及用于将所述传导阀的阀门开度保持在所述标准值的阀门开度保持部。
本发明,利用先于成膜处理时间的准备时间(例如,即将将基板搬入处理容器前以及待机时间或者搬入后的基板升温时间),在该准备时间内为处理容器内进行排气,同时以设定的流量进行供给所期望的气体,使处理容器内的压力与设定值基本一致,对此时的传导阀的阀门开度进行鉴定并将其作为标准值。之后,在成膜处理时间内,使传导阀的阀门开度保持在标准值。因此,即使在各个循环的第一以及第二步骤中由于切换供给气体而使容器内的气氛发生变化,由于排气传导率以及排气速度被保持在一定(标准值),因而加工压力被稳定维持。即使由于装置的设置条件或随时间变化使排气性能方面发生变化,由于在各个成膜处理的准备阶段设定了对应于加工压力的最佳排气传导率,能够在不改变加工方案的内容的方式下而保证加工的再现性。
根据本发明的薄膜形成方法或薄膜形成装置,通过如上所述的结构及作用,能够不受处理容器内的气氛的时间性的变化因素的影响,在形成稳定的压力的同时,不需要根据设置条件或随时间变化等改变加工方案的内容,能够确保加工再现性。
附图说明
图1是表示本发明的一实施方式涉及的ALD装置的基本构成的方块图。
图2是表示实施方式涉及的装置运行的基本顺序的流程图。
图3是表示实施方式涉及的阀门开度鉴定部的一个构成例子的方块图。
图4是表示实施方式中的导电膜形成用ALD装置的主要构成的简略截面图。
图5是表示实施方式中的方案的一个例子的加工方案表。
图6是表示实施方式的一个变形例子中的加工方案的一个例子的加工方案表。
图7是表示实施方式涉及的一个变形例子的装置运行顺序的流程图。
图8是表示实施方式的一个变形例子中的加工方案的一个例子的加工方案表。
图9是表示实施方式的一个变形例子涉及的装置运作的顺序的流程图。
标号说明:
10:处理容器;12:气体供给管线;14:气体供给部;16:等离子体发生部;18:排气管线(排气通路);20:真空泵;22:传导阀;24:压力控制部;26:阀门控制器;28:压力检测器;30:阀门驱动部;32:阀门开度检测部;34:阀门开度鉴定部;36:主控制部;40:基座(susceptor):46:喷头部
具体优选实施方式
以下,参照附图,对本发明的优选实施方式进行说明。
图1表示本发明的ALD装置的基本构成。该ALD装置包括:可以减压的处理容器10;通过气体供给管线12向该处理容器10有选择地供给ALD需要使用的处理气体、例如反应气体或吹扫气体(purgegas)等用的气体供给部14;在处理容器10内用于等离子体激发所期望的反应气体的等离子体发生部16;用于通过排气管线(排气通路)18将处理容器10抽真空成所期望的压力(真空度)的真空泵20;设置在排气管线18的中部的传导阀22;用于控制处理容器10内的压力或排气传导率的压力控制部24;以及将装置整体以及各部分总体控制用的主控制部36。
在处理容器10内,配置有用于载置被处理基板例如半导体晶片的载置台或基座,在该基座的内部设置有用于将基板加热至一定温度的加热器。气体供给部14,对于每种处理气体都配备有气体供给源以及流量调整器(MFC)。等离子体发生部16是在PEALD的情况下使用的部件,例如由平行平板型、ICP(感应耦合等离子体)、RLSA(放射线隙缝天线:radial line slot antenna)等的等离子体源构成,具有输出一定频率的高频电的高频电源。真空泵20由例如干式泵或涡轮分子泵(turbo—molecular pump)等构成。传导阀22,例如由蝶形阀构成,具有例如在0°~90°的范围内能够旋转的阀芯,能够使排气通路的开口面积或阀门开度在0°(全闭)~90°(全开)的范围内变为任意数值。
压力控制部24包括:阀门控制器26、安装在处理容器10或其附近的压力检测器28、驱动传导阀22的阀芯的阀门驱动部30、检测传导阀22的阀门开度的阀门开度检测部32、基于由该阀门开度检测部32所得的阀门开度检测值鉴定传导阀22的阀门开度的标准值的阀门开度鉴定部34。
这里,压力检测器28,例如由真空计形成,输出显示处理容器10内的压力的电气信号。阀门驱动部30,例如由伺服电动机(servomotor)形成,在阀门控制器26的控制下,可以改变传导阀22的阀芯的位置或阀门开度。阀门开度检测部32,例如由与传导阀22的阀芯连结、输出显示传导阀22的阀门开度的模拟(analog)的电压信号的电位计(potentiometer);以及将该电位计的输出信号以规定的采样(sampling)频率(例如10kHz)变换成数字信号的A/D转换器而构成。阀门开度鉴定部34的构成及其作用将在后面说明。
阀门控制器26与压力检测器28以及阀门驱动部30连动,能够构成对传导阀22的阀门开度进行可变控制的压力反馈回路,使得处理容器10内的压力与设定值一致。另外,阀门控制器26与阀门开度检测部32以及阀门驱动部30连动,能够构成用于使传导阀22的阀门开度与标准值一致,即、用于将排气通路18的传导率或实际排气速度保持在一定数值的反馈回路。阀门控制器26的上述两种反馈控制功能能够根据来自主控制部36的控制信号进行切换。
以下,参照图2的流程图对ALD装置的运行进行说明。该装置的运行,根据规定的软件在主控制部36的控制下实行。
首先,将作为被处理基板的半导体晶片搬入处理容器10内,载置在基座上(步骤S1)。
之后,先于ALD成膜处理,花费一定的时间,在基座上将半导体晶片升温至成膜用的设定温度。在该晶片升温期间(预热期间),气体供给部14将规定的压力调整用气体以规定的流量供给至处理容器10内,同时,在压力控制部24,控制器26进行APC方式的压力反馈控制,阀门开度鉴定部34对阀门开度的标准值进行鉴定(步骤S2)。
详细的说,阀门控制器26接受压力检测器28的输出信号(压力检测值),将该压力检测值与事先从主控制部36获得的压力设定值相比较,而产生比较误差,根据比较误差,即,以使比较误差接近零的方式将控制信号传给阀门驱动部30,对传导阀22的阀门开度进行可变控制。通过该APC的运行,处理容器10内的压力被保持在压力设定值的附近。此外,来自气体供给部14的压力调整用气体,对于处理容器10内的压力来说,优选为与支配型的反应气体相同种类的气体,并且采用相同的流量,以使得与ALD循环时相同的压力负荷附加在处理容器10内。
在进行如上所述的APC运行时,传导阀22的阀门开度(瞬时值)通过阀门开度检测部32而被检测,以一定的时间间隔(例如100ms),阀门开度检测值或采样值通过阀门开度检测部32传递给阀门开度鉴定部34。
如图3所示,阀门开度鉴定部34,作为一个构成例子,包括FIFO缓冲存储器34a、算术平均演算部34b以及数据锁存电路(data latchcircuit)34c。FIFO缓冲存储器34a以先入先出(first in first out)的方式一次储蓄n个(n为自然数,例如n=30)通过阀门开度检测部32在每个上述时间间隔(100ms)内按时间序列所赋予的采样值。因此,当来自阀门开度检测部32的新的采样值ai被记入FIFO缓冲存储器34a内时,迄今为止纪录的30个采样值中最原始的(ai的前n个)采样值ai-n以被逐出的方式被丢弃到存储器34a外。
算术平均演算部34b,对于储蓄在FIFO缓冲存储器34a内的现在的n个采样值,在上述每个时间间隔(100ms)内进行算术平均计算,并将算术平均值m输出或更新。图3的例子中,在采样值ai被记入FIFO缓冲存储器34a前,算术平均演算部34b将通过(ai-1+ai-2+ai-3+...+ai-n-1+ai-1)/n得出的算术平均值mi-1输出。这里,如果新采样值ai被记入FIFO缓冲存储器34a,则采样值ai-n与此相交换并被丢弃,算术平均演算部34b按(ai+ai-1+ai-2+...+ai-n-2+ai-n-1)/n进行计算,将其计算结果作为算术平均值mi而输出。
数据锁存电路34c,响应由主控制部36获得的时钟(timing)信号CK,将算术平均演算部34b的输出m锁存。被该数据锁存电路34c锁存的算术平均值ms,是作为在如上所述的APC运行中的传导阀22的阀门开度的代表值或标准值而被鉴定的值,被传递给主控制部35以及阀门控制器26。
该阀门开度的标准值ms是在与预热完成的同时开始的ALD成膜处理中使用的值。因此,如上所述的阀门开度鉴定部34中的阀门开度鉴定处理优选在预热时间的完成之际进行。
阀门控制器26,如果从阀门开度鉴定部34接受阀门开度标准值ms,则停止迄今为止通过压力检测器28以及阀门驱动部30进行的APC的压力反馈控制,通过阀门开度检测部32以及阀门驱动部30,切换成用于将传导阀22的阀门开度保持在标准值ms的反馈控制(步骤S3)。在该阀门开度保持器的反馈控制中,阀门控制器26,将阀门开度检测部32以每个一定的时间间隔(100ms)所赋予的阀门开度检测值或采样值a与标准值ms进行比较,并产生比较误差,根据比较误差,即,将用于使比较误差接近零的控制信号赋予阀门驱动部30,使传导阀22的阀门开度保持在标准值ms附近。通过该阀门开度保持器的运行,在ALD处理时间中,处理容器10的排气系统中的排气传导率或排气速度被保持为一定。此外,通过阀门开度检测部32传递给阀门控制器26的阀门开度检测值,可以具有相对于阀门开度鉴定部34的不同的时间间隔(采样周期),或者说是模拟信号的形态。
在ALD处理时间中,同一ALD循环(步骤S4~S7)被多次反复(步骤S8,S9)。一个ALD循环,基本由四个阶段,即,第一、第二、第三、及第四步骤(S4、S5、S6、S7)构成。在第一步骤S4中,处理气体A(第一反应气体)从气体供给部14被供给至处理容器10内,被供给的处理气体A的分子作为前体(precursor)在半导体晶片上仅吸附一个分子层。在第二步骤S5,从气体供给部14将例如由不活性气体构成的吹扫气体供给至处理容器10内,在处理容器10内未吸附的残留的多余处理气体A被排出处理容器10外。在第三步骤S6,首先从气体供给部14向处理容器10内供给处理气体B(第二反应气体)(S6A)。这里,在PEALD的情况下,开启等离子体发生部16,在处理容器10内将处理气体B等离子体化(S6B),使处理气体B的反应种(自由基或离子)与吸附在半导体晶片上的处理气体A发生化学反应。通过该化学反应,半导体晶片上的薄膜仅成长一个原子的层或一个分子的层。之后,在经过一定时间后通过停止等离子体激发(S6C),完成第三步(S6)。接着,在第四步骤S7中,从气体供给部14将例如由不活性气体构成的吹扫气体供给至处理容器10内,将在处理容器10内未反应的残留的多余处理气体B以及其反应种排出至处理容器10之外。
此外,在PEALD的情况下,由于如上所述的处理气体B仅在被等离子体激发时与处理气体A有效地发生反应,不仅在第三步骤S6,而且例如在第一以及第二步骤S4、S5之间也能够将处理气体B供给至处理容器10内。
如果将如上所述的ALD循环(S4~S7)以规定的次数循环,当判断半导体晶片上的薄膜达到期望的膜厚时(步骤S8),完成ALD成膜处理。然后,将处理完毕的半导体晶片搬离基座并搬出处理容器10外(步骤S10)。
如上所述,在该实施方式的ALD装置中,在先于ALD成膜处理前的晶片升温期间(预热期间),在处理容器10内施加模拟ALD成膜处理的气体负荷进行APC式的反馈控制,将处理容器10内的气体压力与设定值基本一致时的传导阀22的阀门开度作为标准值进行鉴定。之后,在ALD处理时间中,进行用于使传导阀22的阀门开度与标准值一致的反馈控制,即使在ALD循环中,以数秒单位切换被送入处理容器10的气体,也能够将排气传导率保持一定,并稳定维持加工压力。
另外,在本实施方式中,由于各个运行ALD装置不受设置条件或随时间变化的影响,能够总是(以枚页为处理单位)按照根据压力设定值的最佳排气传导率进行运行,因而能够不需要改变加工内容而确保加工的再现性。因此,能够提高ALD装置的通用性或维修性。因此,作为通过批量生产线能够生产的薄膜形成装置,能够提供具有充分实用性的ALD装置。
此外,在半导体晶片没有进入处理容器10内的状态下,例如在将半导体晶片搬入处理容器10之前或者在等待处理的时间内,进行如上所述的APC式的反馈控制,也可以对传导阀22的阀门开度进行鉴定。
下面,参照图4~图6,就作为上述实施方式的一个具体例子的导电膜形成用的ALD装置进行说明。图4是表示ALD装置关键部位构成的简略截面图。图5是表示在该ALD装置中使用的主要加工条件(局部)的加工方案表。图6是表示装置运行的顺序(sequence)的时间表。在图4的装置中,具有与图1的装置相同的构成或功能的部分采用相同的编号。
在图4中,该ALD装置的处理容器10,例如表面由被进行耐酸铝处理(alumite)的铝或SUS(不锈钢合金)形成,并被安全接地。在处理容器内10的中心部,设置有用于载置作为被处理基板,例如半导体晶片W的基座40。该基座40由例如具有优秀耐腐蚀性及耐热性的材料、例如耐蚀耐热镍基合金(hastelloy)构成,被在从处理容器10的底部垂直向上方延伸的支持部42所水平支持。另外,加热器(未图示)被内置在基座40内,能够将半导体晶片W加热至期望的温度。
在处理容器10的侧壁上设置有可以通过例如闸式阀(gate valve)(未图示)进行开关的基板搬入搬出口(未图示)。在处理容器10的底部设置有排气口44。连通真空泵20的排气管线(排气通路)18连接在该排气口44上,在排气管线18的中途安装有传导阀22。该传导阀22的阀门开度与上述基本实施方式(图1)一样,通过压力控制部24而被控制。
在处理容器10内,兼用作上部电极的圆筒形喷头部46被隔开一定的间隔而设置在基座40的上方。在除了该喷头部46的气体喷出面(下面)的面(侧面以及上面)上,设置有例如由石英、Al2O3等陶瓷构成的绝缘性的屏蔽件48。另外,第一气体导入室50和第二气体导入室52被分隔在多层,设置在喷头部46内,形成能够将两种反应气体分别经由不同的气体导入室导入处理容器10内的处理空间10a内的形式。
在处理容器10的上面设置有开口部,绝缘体的部件54插通在该开口部内。在该绝缘部件54内插通有连接在等离子体发生部16的高频率电源56上的导体58,与喷头部46的上部连接。通过高频率电源56以规定的功率而输出的高频电通过导体58施加在喷头部46上,在喷头部46与基座10之间形成用于以平行平板的方式生成等离子体的高频电场。
该ALD装置中的气体供给部14,分别具有处理气体A、处理气体B以及吹扫气体的各自的气体供给源。这里,处理气体A由将导电膜的原料作为化合物而含有的原料气体构成,处理气体B由用于还原处理气体A的金属化合物的还原气体而构成,吹扫气体由稀有气体或不活性气体构成。作为一个例子,在对使用于Cu扩散防止膜的Ta膜进行成膜时,处理气体A是气化的TaCl5和载气、例如Ar气体的混合气体,还原气体为H2气体,吹扫气体为Ar气体。
处理气体A的气体供给源,通过第一气体供给管线12a与喷头部46的第一气体导入室50连接。在第一气体供给管线12a的途中设置有开关阀门60a、第一流量调节器(MFC)62a以及开关阀门64a。另外处理气体B的气体供给源与吹扫气体供给源通过第二气体供给管线12b与喷头部46的第二气体导入室52连接。在第二气体供给管线12b的途中设置有开关阀门60b、60c、第二流量调节器(MFC)62b以及开关阀门64b。
该ALD装置与基本装置(图1)同样,主控制部36(图4中省略图示)对装置整体以及各部分进行总体控制。此时,主控制部36通过加工方案表(图5)将设定输入的加工条件组合进软件进行运行。图5的加工方案表仅表示在加工条件中与本发明特别相关的项目的设定值。
该ALD装置中,为了形成需要的导电膜(例如Ta膜),采用与基本顺序(图2)一样的顺序或处理步骤。此时,图5的加工方案表和图2的顺序以如下的方式对应。即,在预热期间,Step1与步骤S2、Step2与步骤S3分别对应。另外,ALD循环中,Step3与步骤S4、Step4与步骤S5、Step5与步骤S6(S6A、S6B、S6C),Step6与步骤S7分别对应。以下,参照图5的加工方案表的内容,就该ALD装置的功能以及作用进行说明。
图5的加工方案表中,对于每个Step1~Step6,对所需时间T、供给至处理容器10内的气体种类、以及流量等进行了设定输入。图示的例子中,在Step1,指示在任意的设定时间T1(例如60秒)内通过APC模式进行供给处理气体B。在装置方面,如上所述,在该APC运行之间的压力控制部24,阀门开度检测部32和阀门开度鉴定部34自动运行并对传导阀22的阀门开度进行监测。
在预热期间的完成之际的一定时间内,例如1秒内,组合进Step2,指示“HOLD”作为压力控制模式。在装置方面,在Step2开始时,从主控制部36将控制信号CK传递给阀门开度鉴定部34,并决定或鉴定阀门开度的标准值ms,将压力控制的模式从APC切换为阀门开度HOLD。
在ALD循环中,加工方案表(图5)中,在所有的Step3~Step6中均指示压力控制模式为“HOLD”。根据该加工方案,在装置方面,在整个ALD成膜处理时间内,将压力控制模式固定成阀门开度HOLD。此外,在Step3,作为送入处理容器10的气体,在原来处理气体A的基础上也指定处理气体B。PEALD中,只要不开启等离子体,处理气体B(还原气体)就不进行(还原)作用,由于不对处理气体A的吸附作用产生影响,即使在处理气体A中混入处理气体B也没有任何障碍。另外,如果在进入Step5之前预先将处理气体B送入处理容器10内的话,具有能够稳定可靠地点燃等离子体的优点。从这一点看,即使在Step4的吹扫方面,也优选将处理气体B(还原用气体)与吹扫气体(Ar气体)一起送入处理容器10内。
在导电膜的PEALD的情况下,由于处理气体A(原料气体)的流量与处理气体B(还原气体)的流量相比,非常地少,因而能够将处理气体B(还原气体)的流量作为标准。因此,在如上所述的预热期间,在以APC模式对传导阀22的阀门开度进行鉴定时,也可以按照与ALD循环时同等的气体负荷将处理气体B(还原气体)送入处理容器10内。而且,也可以适宜地使用或混合不活性气体。
在Step5,进行处理气体B(H2气体)的等离子体激发。从H2气体通过等离子体激发而生成H+/H*(氢离子与氢自由基)。这些反应种(H+/H*)将在半导体晶片W的表面以原子层的程度吸附着的导电体化合物还原,形成一个原子层厚度的膜。根据本发明的阀门开度保持功能,排气传导率被保持为一定,由此,处理容器10内的压力被稳定维持,由此,能够稳定可靠地使处理气体B(H2气体)的等离子体点火。Step6的吹扫中,由于排出多余的处理气体B(还原气体),在处理容器10内可以仅送入吹扫气体(Ar气体)。此外,Step3~Step6的各处理时间T1~T6通常被选定为3~5秒左右。
在上述实施方式中,在压力控制部24,在ALD成膜处理时间内使阀门开度保持器的压力控制维持。但是在吹扫中,不需要使传导阀22的阀门开度与处理气体A、B的压力对应,而且从吹扫的目的看,尽可能切换成大的阀门开度(全开最佳)的话,有利于吹扫气体的使用效率及时间效率等。根据本发明,通过在上述实施方式中附加局部的变形便可以应对上述要求。该变形例子如图6的加工方案表与图7的流程图所示。
此时的加工方案表(图6),在分别供给ALD循环的处理气体A、B的Step3、5中,只要指示“ReHOLD”即可。此处,“ReHOLD”意味着再次切换成阀门开度HOLD模式,亦即意味着将传导阀22的阀门开度再次保持在标准值ms。另外,在吹扫的Step3、5只要指示“Angle90”(角度90)即可。该“Angle 90”意味着将传导阀22的阀门开度保持在90°(全开)。
运行顺序(图7)中,在基本顺序(图2)中追加了“阀门全开”的步骤Sa、Sc与“阀门开度再HOLD”的步骤Sb、Sd。由此,、在供给处理气体A的步骤S4(Step3)之后,在步骤Sa中将传导阀22的阀门开度从到其为止的标准值ms切换成最大值(90°),在下一个步骤S5(Step4)以最大排气速度进行吹扫。之后,在即将供给处理气体B的步骤6(Step5)之前,在步骤Sb中,传导阀22的阀门开度从到其为止的最大值(90°)被切换成标准值ms。在供给过处理气体B后也同样,传导阀22的阀门开度在即将吹扫(S7、Step6)前,在步骤Sc中被切换成最大值(90°),在吹扫(S7,Step6)之后,立即在步骤Sd中被返回标准值ms。此外,通过在加工方案表(图6)中指定“Angle80”,能够将吹扫中的传导阀22的阀门开度固定在80°。
虽然上述实施方式涉及PEALD,但本发明也适用于不利用等离子体的ALD法。此时,在ALD循环的各个步骤中,为了使处理气体A、B在气相中不发生反应,需要避免处理气体A、B的同时供给,另外,优选对供给处理气体A、B时的排气传导率独立地进行控制。
根据本发明,如图8的加工方案表以及图9的流程图所示,在预热期间内,在处理容器内依次交替供给处理气体A、B,分别施加与ALD循环时同等的气体负荷,对进行APC运行时的传导阀的阀门开度进行鉴定,能够针对每种处理气体A、B分别获得标准值ms1、ms2。之后,在正规的ALD循环中,在向处理容器内供给处理气体A的步骤S4(Step3)时,在步骤S3、S3’将压力控制切换成阀门开度HOLD1的模式,能够将传导阀的阀门开度保持在标准值ms1、在向处理容器内供给处理气体B的步骤S6(Step5)时,在步骤S3’将压力控制切换成阀门开度HOLD2的模式,能够将传导阀的阀门开度保持在标准值ms2。
另外,在本发明的技术思想的范围内可以进行各种变形。例如,如上所述,本发明特别适用于ALD,但也可以适用于为了将处理气体不连续地或断续地供给至处理容器内而在短时间内对处理容器内的压力进行大的改变的任意的成膜技术。因此,例如,在将处理气体A供给至处理容器内的步骤和将处理气体B送入处理容器内的步骤之间,插入吹扫以外步骤的方式,或不插入任何步骤的使之连续的方式等也适用于本发明。
另外,本发明中的被处理基板不局限于半导体晶片,例如也可以是平板显示器面板用的玻璃基板等。
产业上的可利用性
根据本发明的薄膜形成方法或薄膜形成装置,通过如上所述的构成及作用,不受处理容器内的气氛的时间变化因素的影响,能够形成稳定的压力的同时,对应于设置条件或随时间变化等问题,在不改变加工方案的内容的方式下便能够确保加工再现性。
Claims (27)
1.一种薄膜形成方法,其特征在于:
是在连接能够减压的处理容器和真空泵的排气通路上设置传导阀,将被处理基板配置在所述处理容器内,在成膜处理时间内,使包括供给第一反应气体的第一步骤与供给第二反应气体的第二步骤的循环进行一次或反复多次,利用所述第一反应气体与所述第二反应气体之间的化学反应在所述基板上形成膜的薄膜形成方法,其包括,
第一工序,在先于所述成膜处理时间的开始的准备时间内,为所述处理容器内进行排气,同时,将所期望的气体以设定流量供给至所述处理容器内,对在所述处理容器内的压力与设定值一致时的所述传导阀的阀门开度进行鉴定并作为标准值;以及
第二工序,在所述成膜处理时间中的各个所述循环内,至少在所述第一以及第二步骤中,将所述传导阀的阀门开度保持在所述标准值。
2.根据权利要求1所述的薄膜形成方法,其特征在于:
在一个循环内利用所述第一反应气体与所述第二反应气体之间的化学反应,在所述基板上形成一个原子厚度或一个分子厚度的层,在所述基板上形成与循环的反复次数相对应的膜厚的薄膜。
3.根据权利要求1所述的薄膜形成方法,其特征在于:
所述准备时间被设定在所述基板未进入所述处理容器内的时间段上。
4.根据权利要求1所述的薄膜形成方法,其特征在于:
所述准备时间被设定在所述基板被搬入所述处理容器后的时间段上。
5.根据权利要求1所述的薄膜形成方法,其特征在于:
在所述准备时间中,将至少包括所述第一及第二反应气体中一方的压力调整用的气体以与所述成膜处理时同等的气体流量供给至所述处理容器内。
6.根据权利要求5所述的薄膜形成方法,其特征在于:
所述第一反应气体是将所述薄膜的原料作为化合物而含有的原料气体,所述第二气体是将所述化合物进行还原的还原气体。
7.根据权利要求6所述的薄膜形成方法,其特征在于:
在所述处理容器内对所述第二反应气体进行等离子体激发而生成自由基以及/或者离子。
8.根据权利要求1所述的薄膜形成方法,其特征在于:
各所述循环,在所述第一步骤后,包括立即将多余的所述第一反应气体从所述处理容器排出的第三步骤。
9.根据权利要求8所述的薄膜形成方法,其特征在于:
在所述第三步骤中,将包含不活性气体的吹扫气体供给至所述处理容器内。
10.根据权利要求8所述的薄膜形成方法,其特征在于:
在所述第三步骤中也将所述传导阀的阀门开度保持在所述标准值。
11.根据权利要求8所述的薄膜形成方法,其特征在于:
在所述第三步骤中将所述传导阀的阀门开度切换为最大值。
12.根据权利要求1所述的薄膜形成方法,其特征在于:
各所述循环,在所述第二步骤后,包括立即将多余的所述第二反应气体从所述处理容器排出的第四步骤。
13.根据权利要求12所述的薄膜形成方法,其特征在于:
在所述第四步骤中,将包含不活性气体的吹扫气体供给至所述处理容器内。
14.根据权利要求12所述的薄膜形成方法,其特征在于:
在所述第四步骤中也将所述传导阀的阀门开度保持在所述标准值。
15.根据权利要求12所述的薄膜形成方法,其特征在于:
在所述第四步骤中将所述传导阀的阀门开度切换在最大值。
16.根据权利要求1所述的薄膜形成方法,其特征在于:
所述第一工序包括:以压力反馈方式对所述传导阀的阀门开度进行可变控制以使得所述处理容器内的压力的检测值与所述设定压力一致的第三工序、以及在所述第三工序中对所述传导阀的阀门开度进行测定的第四工序。
17.根据权利要求16所述的薄膜形成方法,其特征在于:
所述第四工序在所述准备期间的完成之际进行。
18.根据权利要求16所述的薄膜形成方法,其特征在于:
所述第四工序包括以一定的时间间隔对所述传导阀的阀门开度的瞬时值进行取样,取得n个采样值的平均的第五工序,其中,n为自然数。
19.根据权利要求18所述的薄膜形成方法,其特征在于:
所述第五工序包括:第六工序,在每个所述一定的时间间隔内,将新的采样值加入到该新的采样值为止的连续的n个采样值内,同时从这n+1个当中除去最原始的采样值;以及第七工序,对在所述第六工序中替换后的连续的n个采样值计算算术平均值,其中,n为自然数。
20.根据权利要求1所述的薄膜形成方法,其特征在于:
所述第二工序包括:检测所述传导阀的阀门开度的第八工序;
将所述阀门开度的检测值与所述标准值比较,求得比较误差的第九工序;以及
根据所述比较误差对所述传导阀的阀门开度进行可变控制的第十工序。
21.根据权利要求4所述的薄膜形成方法,其特征在于:
在所述准备期间内,将所述基板加热至设定温度,在所述成膜处理的时间内也将所述基板的温度保持在所述设定温度。
22.根据权利要求1所述的薄膜形成方法,其特征在于:
在所述第一工序中,将至少包含所述第一反应气体的第一压力调整用气体供给至所述处理容器内,对在所述处理容器内的压力与第一设定值一致时的所述传导阀的阀门开度进行鉴定并作为第一标准值,同时,将至少包含所述第二反应气体的第二压力调整用气体供给至所述处理容器内,并且对在所述处理容器内的压力与第二设定值一致时的所述传导阀的阀门开度进行鉴定并作为第二标准值,
在所述第二工序中,在所述第一步骤中将所述传导阀的阀门开度保持在所述第一标准值,在所述第二步骤中将所述传导阀的阀门开度保持在所述第二标准值。
23.一种薄膜形成装置,其特征在于:
是在连接能够减压的处理容器和真空泵的排气通路上设置传导阀,将被处理基板配置在所述处理容器内,在成膜处理时间内,使包括供给第一反应气体的步骤与供给第二反应气体的步骤的循环进行一次或反复多次,利用所述第一反应气体与所述第二反应气体之间的化学反应在所述基板上形成膜的薄膜形成装置,其包括,
为了使所述处理容器内的压力与设定值一致而通过压力反馈方式对所述传导阀的阀门开度进行可变控制的自动压力控制部;
对在所述处理容器内的压力与设定值一致时的所述传导阀的阀门开度进行鉴定并作为标准值的阀门开度鉴定部;以及
用于将所述传导阀的阀门开度保持在所述标准值的阀门开度保持部。
24.根据权利要求23所述的薄膜形成装置,其特征在于:
所述阀门开度鉴定部包括:
在利用所述自动压力控制部对所述传导阀的阀门开度进行可变控制的过程中,对所述传导阀的阀门开度进行检测,以一定的时间间隔进行取样的取样部;
对通过所述取样部在每个所述一定的时间间隔内获得的采样值以先入先出的方式暂时存储n个的FIFO缓冲存储器,其中,n为自然数;
对于存储在所述FIFO缓冲存储器内的n个采样值,在每个所述一定的时间间隔内计算算术平均值的演算部;以及
在期望的时刻获取由所述演算部在每个所述一定的时间间隔内获得的算术平均值并作为所述标准值的标准值决定部。
25.根据权利要求23所述的薄膜形成装置,其特征在于:
所述阀门开度保持部包括:
改变所述传导阀的阀门开度的阀门驱动部;
对所述传导阀的阀门开度的瞬时值进行检测的阀门开度检测部;
将所述阀门开度的瞬时值与所述标准值进行比较并产生比较误差的比较部;以及
根据所述比较误差对所述阀门驱动部进行控制的阀门控制部。
26.根据权利要求23所述的薄膜形成装置,其特征在于:
在所述处理容器内,具有用于使所述第一以及所述第二反应气体的至少一方呈等离子体状态的等离子体发生部。
27.根据权利要求23所述的薄膜形成装置,其特征在于:
在一个循环内利用所述第一反应气体与所述第二反应气体之间的化学反应在所述基板上形成一个原子厚度或一个分子厚度的层,将与循环的反复次数相对应的膜厚的薄膜形成在所述基板上。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP230492/2004 | 2004-08-06 | ||
JP2004230492A JP4718141B2 (ja) | 2004-08-06 | 2004-08-06 | 薄膜形成方法及び薄膜形成装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1993496A CN1993496A (zh) | 2007-07-04 |
CN100523291C true CN100523291C (zh) | 2009-08-05 |
Family
ID=35787013
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2005800266875A Active CN100523291C (zh) | 2004-08-06 | 2005-07-19 | 薄膜形成方法及薄膜形成装置 |
Country Status (4)
Country | Link |
---|---|
US (1) | US7972649B2 (zh) |
JP (1) | JP4718141B2 (zh) |
CN (1) | CN100523291C (zh) |
WO (1) | WO2006013720A1 (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103046028A (zh) * | 2011-10-13 | 2013-04-17 | 中国科学院微电子研究所 | 基于高精度pid控制温度的原子层沉积设备 |
CN103046029A (zh) * | 2011-10-13 | 2013-04-17 | 中国科学院微电子研究所 | 基于模拟退火算法的自适应压力控制的原子层沉积设备 |
CN103046030A (zh) * | 2011-10-13 | 2013-04-17 | 中国科学院微电子研究所 | 基于压力测量模块的原子层沉积设备及其使用方法 |
Families Citing this family (437)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4355672B2 (ja) * | 2005-03-15 | 2009-11-04 | 三井造船株式会社 | 薄膜形成方法 |
JP4961223B2 (ja) * | 2007-01-31 | 2012-06-27 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置の圧力制御方法 |
JP5459895B2 (ja) * | 2007-10-15 | 2014-04-02 | Ckd株式会社 | ガス分流供給ユニット |
WO2010024036A1 (ja) * | 2008-08-28 | 2010-03-04 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理装置のクリーニング方法 |
KR101521998B1 (ko) * | 2008-09-03 | 2015-05-21 | 삼성전자주식회사 | 상변화막 형성방법 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
JP5424721B2 (ja) * | 2009-06-02 | 2014-02-26 | 日立造船株式会社 | 真空容器のシミュレーション装置 |
KR101556356B1 (ko) | 2009-06-23 | 2015-10-02 | 주성엔지니어링(주) | 가스 분사 장치 및 이를 구비하는 박막 제조 장치 |
JP5287592B2 (ja) * | 2009-08-11 | 2013-09-11 | 東京エレクトロン株式会社 | 成膜装置 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
TW201306082A (zh) * | 2011-04-18 | 2013-02-01 | Tokyo Electron Ltd | 電漿評估方法、電漿處理方法及電漿處理裝置 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) * | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
JP5960614B2 (ja) * | 2012-03-29 | 2016-08-02 | Ckd株式会社 | 流体制御システム、流体制御方法 |
JP5868796B2 (ja) * | 2012-07-03 | 2016-02-24 | 株式会社堀場エステック | 圧力制御装置、流量制御装置、及び、圧力制御装置用プログラム、流量制御装置用プログラム |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9007577B2 (en) * | 2012-10-30 | 2015-04-14 | Mustard Tree Instruments, Llc | Analytical instrumentation in hazardous environments via static pressurization |
JP6080506B2 (ja) * | 2012-11-07 | 2017-02-15 | 東京エレクトロン株式会社 | 真空装置、その圧力制御方法及びエッチング方法 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
JP2014194966A (ja) * | 2013-03-28 | 2014-10-09 | Tokyo Electron Ltd | 処理方法及び処理装置 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
JP5950892B2 (ja) | 2013-11-29 | 2016-07-13 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9786524B2 (en) * | 2014-04-15 | 2017-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Developing unit with multi-switch exhaust control for defect reduction |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
TW201634738A (zh) * | 2015-01-22 | 2016-10-01 | 應用材料股份有限公司 | 用於在空間上分離之原子層沉積腔室的經改良注射器 |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
JP6494495B2 (ja) * | 2015-06-30 | 2019-04-03 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
JP6678489B2 (ja) * | 2016-03-28 | 2020-04-08 | 東京エレクトロン株式会社 | 基板処理装置 |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US20180046206A1 (en) * | 2016-08-13 | 2018-02-15 | Applied Materials, Inc. | Method and apparatus for controlling gas flow to a process chamber |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
JP6689179B2 (ja) * | 2016-11-30 | 2020-04-28 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
CN107841730B (zh) * | 2017-11-23 | 2019-09-13 | 滁州国凯电子科技有限公司 | 一种延长ald真空计使用寿命的方法 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
TWI791689B (zh) | 2017-11-27 | 2023-02-11 | 荷蘭商Asm智慧財產控股私人有限公司 | 包括潔淨迷你環境之裝置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
KR102076467B1 (ko) * | 2017-12-19 | 2020-02-13 | 주식회사 테스 | 박막증착장치 |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
CN108048819B (zh) * | 2018-01-10 | 2019-09-10 | 德淮半导体有限公司 | 一种化学气相沉积工艺 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
CN110878411B (zh) * | 2018-09-06 | 2021-07-27 | 长鑫存储技术有限公司 | 一种气相沉积工艺的控制方法、装置、介质及电子设备 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
JP2020176555A (ja) * | 2019-04-18 | 2020-10-29 | 株式会社島津製作所 | 真空ポンプシステム |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
JP7511419B2 (ja) * | 2020-09-09 | 2024-07-05 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及びプログラム |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
KR20220091744A (ko) | 2020-12-24 | 2022-07-01 | 삼성전자주식회사 | 파우더 부산물 억제를 위해 흡착제를 포함하는 배기 가스 처리 시스템 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
CN113416944B (zh) * | 2021-06-22 | 2022-04-19 | 江苏微导纳米科技股份有限公司 | 镀膜设备及其工作方法 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN117305819A (zh) * | 2022-07-29 | 2023-12-29 | 北京北方华创微电子装备有限公司 | 一种特种气体安全控制装置 |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3415207B2 (ja) | 1992-07-24 | 2003-06-09 | 東京エレクトロン株式会社 | 化学気相成長による金属薄膜形成方法 |
JP3355238B2 (ja) | 1993-11-16 | 2002-12-09 | 株式会社日立国際電気 | 半導体成膜装置 |
TW511185B (en) * | 2000-08-11 | 2002-11-21 | Tokyo Electron Ltd | Substrate processing apparatus and processing method |
US6627268B1 (en) * | 2001-05-03 | 2003-09-30 | Novellus Systems, Inc. | Sequential ion, UV, and electron induced chemical vapor deposition |
JP4099092B2 (ja) * | 2002-03-26 | 2008-06-11 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法、高速ロータリバルブ |
WO2003104525A1 (ja) * | 2002-06-10 | 2003-12-18 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
JP4204840B2 (ja) * | 2002-10-08 | 2009-01-07 | 株式会社日立国際電気 | 基板処埋装置 |
JP4280603B2 (ja) * | 2003-11-04 | 2009-06-17 | キヤノン株式会社 | 処理方法 |
US20050220984A1 (en) * | 2004-04-02 | 2005-10-06 | Applied Materials Inc., A Delaware Corporation | Method and system for control of processing conditions in plasma processing systems |
JP2006032610A (ja) * | 2004-07-15 | 2006-02-02 | Tokyo Electron Ltd | 成膜装置 |
-
2004
- 2004-08-06 JP JP2004230492A patent/JP4718141B2/ja not_active Expired - Lifetime
-
2005
- 2005-07-19 US US11/573,272 patent/US7972649B2/en active Active
- 2005-07-19 WO PCT/JP2005/013258 patent/WO2006013720A1/ja active Application Filing
- 2005-07-19 CN CNB2005800266875A patent/CN100523291C/zh active Active
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103046028A (zh) * | 2011-10-13 | 2013-04-17 | 中国科学院微电子研究所 | 基于高精度pid控制温度的原子层沉积设备 |
CN103046029A (zh) * | 2011-10-13 | 2013-04-17 | 中国科学院微电子研究所 | 基于模拟退火算法的自适应压力控制的原子层沉积设备 |
CN103046030A (zh) * | 2011-10-13 | 2013-04-17 | 中国科学院微电子研究所 | 基于压力测量模块的原子层沉积设备及其使用方法 |
CN103046029B (zh) * | 2011-10-13 | 2015-09-09 | 中国科学院微电子研究所 | 基于模拟退火算法的自适应压力控制的原子层沉积设备 |
Also Published As
Publication number | Publication date |
---|---|
JP2006045640A (ja) | 2006-02-16 |
US20080050538A1 (en) | 2008-02-28 |
WO2006013720A1 (ja) | 2006-02-09 |
CN1993496A (zh) | 2007-07-04 |
JP4718141B2 (ja) | 2011-07-06 |
US7972649B2 (en) | 2011-07-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN100523291C (zh) | 薄膜形成方法及薄膜形成装置 | |
US5728222A (en) | Apparatus for chemical vapor deposition of aluminum oxide | |
US5902403A (en) | Method and apparatus for cleaning a chamber | |
KR101242274B1 (ko) | 반도체 처리용 성막 방법, 컴퓨터로 판독 가능한 매체 및 반도체 처리용 성막 장치 | |
US7104476B2 (en) | Multi-sectored flat board type showerhead used in CVD apparatus | |
EP3751018A1 (en) | Vacuum reaction device and reaction method | |
US20060035470A1 (en) | Method for manufaturing semiconductor device and substrate processing system | |
US20090124083A1 (en) | Film formation apparatus and method for using same | |
KR102492984B1 (ko) | 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들 | |
KR101015985B1 (ko) | 기판 처리 장치 | |
KR101133402B1 (ko) | 반도체 처리용 성막 장치 | |
CN108149224A (zh) | 一种等离子体辅助原子层沉积装置 | |
US7987012B2 (en) | Control device of substrate processing apparatus and control program therefor | |
JPS6187319A (ja) | プラズマを用いた化学気相成膜装置 | |
CN103046030B (zh) | 基于压力测量模块的原子层沉积设备的使用方法 | |
JPH11323560A (ja) | 成膜処理方法及び成膜処理装置 | |
KR100871003B1 (ko) | 박막 형성 방법 및 박막 형성 장치 | |
WO2003090271A1 (fr) | Procede de formation de film | |
US6060391A (en) | Vapor phase growth method | |
JP2005302822A (ja) | 薄膜製造装置および薄膜製造方法 | |
CN207933525U (zh) | 一种真空反应装置及反应腔 | |
KR20220013924A (ko) | 박막 증착 방법 | |
KR20230074139A (ko) | 높은 기판 적재 용량을 갖는 pecvd 처리용 반도체 기판의 지지대 | |
JP2005516119A (ja) | パルス状ガスフローcvdによるタングステン堆積プロセス | |
CN118814145A (zh) | 一种cvd反应器的温度控制方法和系统 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |