Skip to content
View spdy1895's full-sized avatar
🏠
Working from home
🏠
Working from home
  • studennt
  • INDIA

Block or report spdy1895

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

A complete open-source design-for-testing (DFT) Solution

Swift 130 28 Updated Aug 20, 2024

This repository documents the learning from VSD "RTL Design Using Verilog With SKY130 Technology" workshop

Verilog 30 7 Updated Jun 6, 2021

VSD Workshop on RTL Design using Verilog HDL and Synthesis with Optimization using SKY130 Technology

HTML 6 4 Updated May 30, 2021

A list of resources related to the open-source FPGA projects

372 43 Updated Nov 26, 2022

Yosys Open SYnthesis Suite

C++ 3,349 867 Updated Aug 21, 2024

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

SystemVerilog 1,028 250 Updated Jul 31, 2024

This is the top-level project for the PULPissimo Platform. It instantiates a PULPissimo open-source system with a PULP SoC domain, but no cluster.

SystemVerilog 369 164 Updated Jul 26, 2024

Wrapper for Rocket-Chip on FPGAs

C 120 26 Updated Oct 5, 2022

This course gives an introduction to digital design tool flow in Xilinx programmable devices using Vivado® Design software suite

HTML 33 63 Updated Oct 15, 2019

Verilog implementation of a RISC-V core

Verilog 101 19 Updated Oct 11, 2018

Official repository of the AWS EC2 FPGA Hardware and Software Development Kit

VHDL 1,500 513 Updated Apr 21, 2024
Verilog 5 3 Updated Oct 19, 2018

A collection of Master XDC files for Digilent FPGA and Zynq boards.

Tcl 496 568 Updated Apr 15, 2024

Its a workshop conducted by VSD team

2 1 Updated Jan 29, 2021
HTML 190 80 Updated Nov 6, 2020

AMBA bus lecture material

Verilog 361 125 Updated Jan 21, 2020

An Open-Source Design and Verification Environment for RISC-V

SystemVerilog 73 26 Updated Apr 21, 2021

FireSim: Fast and Effortless FPGA-accelerated Hardware Simulation with On-Prem and Cloud Flexibility

Scala 855 222 Updated Aug 21, 2024

EE 260 Winter 2017: Advanced VLSI Design

Verilog 57 25 Updated Dec 13, 2016

2020 Final Year VLSI Project

C++ 6 4 Updated Jun 24, 2021

Free Introduction to Bash Scripting eBook

HTML 3,843 399 Updated Aug 4, 2024

Curated resources help you prepare for the CNCF/Linux Foundation CKS 2021 "Kubernetes Certified Security Specialist" Certification exam. Please provide feedback or requests by raising issues, or ma…

AGS Script 1,969 536 Updated Jun 23, 2024

A FREE comprehensive reverse engineering tutorial covering x86, x64, 32-bit/64-bit ARM and embedded RISC-V architectures.

Assembly 10,863 985 Updated Aug 18, 2024

8x PLL Clock Multiplier IP with an input frequency range of 5Mhz to 12.5Mhz and output frequency range of 40Mhz to 100Mhz, giving a 8x multiplied clock at ~50% duty cycle on tt corner at room tempe…

108 43 Updated Jul 31, 2021

A collection of data science and machine learning resources that I've found helpful (I only post what I've read!)

529 117 Updated Jul 8, 2024

High Level Synthesis FPGA example of QAM demodulator using Vivado HLS

C++ 6 3 Updated Jan 24, 2015

AXI Formal Verification IP

SystemVerilog 19 2 Updated Apr 28, 2021

this repo contains hdl codes for digital circuits.

Verilog 1 1 Updated Feb 11, 2021

System Verilog based Verification of MIPS 5 staged pipelined processor using UVM environment

Verilog 1 Updated Oct 1, 2020
Next