Skip to content
View sober31's full-sized avatar

Block or report sober31

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

PCIE 5.0 Graduation project (Verification Team)

Verilog 52 22 Updated Jan 27, 2024

AMBA AHB 5.0 VIP in SystemVerilog based on UVM

SystemVerilog 6 2 Updated Nov 27, 2017

UVM VIP for Single Port RAM Synchronous Read/Write

SystemVerilog 5 1 Updated Jul 15, 2020

UVM Test bench for a 8-bit ALU

SystemVerilog 5 1 Updated Dec 24, 2020

UVM and Systemverilog based test benches for functional verification of a RAM module

SystemVerilog 6 5 Updated Jan 2, 2019

Maven Silicon project - AHB-to-APB Bridge Verification using UVM Methodology.

SystemVerilog 62 11 Updated Jul 2, 2023

An UVM example of UART

SystemVerilog 14 9 Updated Aug 31, 2020

an infrastructure to implement arbitrary indirect registers on top of uvm

SystemVerilog 4 3 Updated Nov 6, 2017

为了学习UVM验证相关知识,需要动手尝试实际的项目。作为一个初学者,难以接触到实际的项目,于是我从夏宇闻老师的《Verilog数字系统设计教程》一书中,挑选出一个简单的小设计,作为我的验证对象,并围绕它编写了UVM验证环境。

SystemVerilog 19 4 Updated Oct 9, 2020

UVM verification component and testbench generator tool

SystemVerilog 5 1 Updated Nov 15, 2018

Implements a simple UVM based testbench for a simple memory DUT.

SystemVerilog 12 19 Updated Oct 26, 2019

Title : Communication Bridge between I2C and SPI Platform : RTL Coding (Verilog/System Verilog/VHDL) Duration : 1 Month Description : Both SPI and I2C are robust, stable communication protocols tha…

17 4 Updated Aug 21, 2018

SystemVerilog UVM testbench example

SystemVerilog 26 10 Updated May 8, 2024

General Purpose I/O agent written in UVM

SystemVerilog 14 11 Updated Jun 29, 2017

This script builds the UVM register model, based on pre-defined address map in markdown (mk) style

SystemVerilog 12 4 Updated Mar 23, 2018

Verification IP for AMBA APB Protocol

SystemVerilog 23 6 Updated Nov 7, 2023

VIP for AXI Protocol

SystemVerilog 99 28 Updated May 24, 2022

UVM Testbench to verify serial transmission of data between SPI master and slave

SystemVerilog 33 14 Updated Jul 4, 2020

This asynchrounous FIFO deisgn and UVM verificaiton is one case study of me. The design is based on Cliff Cumming's paper and the UVM is coded by me(Xianghzi Meng)

SystemVerilog 52 15 Updated Oct 19, 2023

my UVM training projects

Verilog 27 10 Updated Mar 14, 2019

DOULOS Easier UVM Code Generator

Perl 25 15 Updated May 6, 2017

Novel GUI Based UVM Testbench Template Builder

Python 115 44 Updated Apr 14, 2021

AMBA AHB 2.0 VIP in SystemVerilog UVM

SystemVerilog 143 63 Updated Mar 31, 2020

System Verilog based Verification of MIPS 5 staged pipelined processor using UVM environment

Verilog 90 32 Updated Jan 17, 2018

UVM Generator

SystemVerilog 43 23 Updated May 9, 2024

uvm auto generator

SystemVerilog 22 14 Updated Aug 27, 2018

UVM interactive debug library

SystemVerilog 31 14 Updated May 11, 2017

uvm AXI BFM(bus functional model)

Verilog 227 111 Updated Jun 23, 2013

This is the main repository for all the examples for the book Practical UVM

Verilog 1 Updated Oct 21, 2020

A basic testbench made for educational purposes using SystemVerilog and the Universal Verification Methodology

93 87 Updated Mar 18, 2014
Next