Skip to content
@openXC7

openXC7

Free and open source FPGA toolchain for AMD/Xilinx Series 7 chips, including Kintex-7. Supports Kintex7 (including 325/420/480t), Artix7, Spartan7 and Zynq7.

Pinned Loading

  1. toolchain-installer toolchain-installer Public

    Convenience script to install the nextpnr-xilinx toolchain for Kintex7, Artix7, Spartan7 and Zynq7

    Shell 51 4

  2. demo-projects demo-projects Public

    Demo projects for various Kintex FPGA boards

    Verilog 41 15

  3. openXC7-snap openXC7-snap Public

    snap package for nextpnr PnR FPGA toolchain for Xilinx 7 series FPGAs, with Spartan7, Artix7, Zynq7 and Kintex7 support

    26 2

  4. toolchain-nix toolchain-nix Public

    Nix flake for openXC7

    Nix 20 3

Repositories

Showing 10 of 18 repositories
  • openXC7-snap Public

    snap package for nextpnr PnR FPGA toolchain for Xilinx 7 series FPGAs, with Spartan7, Artix7, Zynq7 and Kintex7 support

    openXC7/openXC7-snap’s past year of commit activity
    26 BSD-3-Clause 2 2 0 Updated Jul 10, 2024
  • nextpnr-xilinx Public Forked from gatecat/nextpnr-xilinx

    Experimental flows using nextpnr for Xilinx devices

    openXC7/nextpnr-xilinx’s past year of commit activity
    C++ 35 ISC 37 9 1 Updated Jul 9, 2024
  • primitive-tests Public

    Test designs for various primitives supported by openXC7

    openXC7/primitive-tests’s past year of commit activity
    Verilog 5 BSD-3-Clause 1 0 0 Updated Jun 26, 2024
  • toolchain-nix Public

    Nix flake for openXC7

    openXC7/toolchain-nix’s past year of commit activity
    Nix 20 3 2 2 Updated Jun 21, 2024
  • toolchain-installer Public

    Convenience script to install the nextpnr-xilinx toolchain for Kintex7, Artix7, Spartan7 and Zynq7

    openXC7/toolchain-installer’s past year of commit activity
    Shell 51 BSD-3-Clause 4 0 0 Updated Jun 21, 2024
  • prjxray-db Public Forked from f4pga/prjxray-db

    Project X-Ray Database: XC7 Series

    openXC7/prjxray-db’s past year of commit activity
    Makefile 4 CC0-1.0 31 0 0 Updated Jun 21, 2024
  • nextpnr-xilinx-meta Public Forked from gatecat/nextpnr-xilinx-meta

    Metadata for the nextpnr-xilinx xc7 flow

    openXC7/nextpnr-xilinx-meta’s past year of commit activity
    2 CC0-1.0 3 0 0 Updated Jun 20, 2024
  • yosys-snap Public

    SNAP package definition for the Yosys logic synthesis suite

    openXC7/yosys-snap’s past year of commit activity
    1 BSD-3-Clause 0 0 0 Updated Jun 14, 2024
  • demo-projects Public

    Demo projects for various Kintex FPGA boards

    openXC7/demo-projects’s past year of commit activity
    Verilog 41 BSD-3-Clause 15 2 0 Updated May 28, 2024
  • prjxray Public Forked from jrrk2/prjxray

    Documenting the Xilinx 7-series bit-stream format.

    openXC7/prjxray’s past year of commit activity
    Python 5 ISC 151 0 0 Updated Apr 2, 2024

People

This organization has no public members. You must be a member to see who’s a part of this organization.

Top languages

Loading…

Most used topics

Loading…