{"payload":{"pageCount":1,"repositories":[{"type":"Public","name":"toolchain-nix","owner":"openXC7","isFork":false,"description":"Nix flake for openXC7","allTopics":[],"primaryLanguage":{"name":"Nix","color":"#7e7eff"},"pullRequestCount":2,"issueCount":2,"starsCount":24,"forksCount":3,"license":"Other","participation":[2,9,0,7,4,8,0,6,0,0,2,0,0,2,1,2,5,1,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,5,0,3,5,8,0,0,0,0,0,0,0,3,1,0,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-10T09:46:51.241Z"}},{"type":"Public","name":"nextpnr-xilinx","owner":"openXC7","isFork":true,"description":"Experimental flows using nextpnr for Xilinx devices","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":14,"starsCount":36,"forksCount":37,"license":"ISC License","participation":[0,0,1,2,5,2,2,1,3,4,3,1,2,5,0,0,0,1,0,1,0,0,1,0,0,0,0,0,2,5,3,7,1,3,0,16,1,6,8,12,11,0,0,0,0,0,0,0,5,1,0,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-10T09:37:49.885Z"}},{"type":"Public","name":"prjxray","owner":"openXC7","isFork":true,"description":"Documenting the Xilinx 7-series bit-stream format.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":148,"license":"ISC License","participation":[0,2,4,4,7,2,4,4,0,2,2,2,6,4,2,6,1,3,2,3,3,0,1,2,1,3,2,3,3,1,2,3,1,4,3,0,2,1,3,3,2,0,1,3,2,1,3,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-07T21:56:31.524Z"}},{"type":"Public","name":"openXC7-snap","owner":"openXC7","isFork":false,"description":"snap package for nextpnr PnR FPGA toolchain for Xilinx 7 series FPGAs, with Spartan7, Artix7, Zynq7 and Kintex7 support","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":2,"starsCount":26,"forksCount":2,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":[0,0,0,1,0,0,1,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,1,0,2,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-10T19:43:25.713Z"}},{"type":"Public","name":"primitive-tests","owner":"openXC7","isFork":false,"description":"Test designs for various primitives supported by openXC7","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":1,"starsCount":5,"forksCount":1,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":[0,0,0,0,0,0,1,1,0,0,0,0,0,3,0,4,4,0,0,0,0,1,17,2,0,1,0,0,0,0,8,3,1,0,0,2,0,0,0,5,24,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-26T01:43:06.037Z"}},{"type":"Public","name":"toolchain-installer","owner":"openXC7","isFork":false,"description":"Convenience script to install the nextpnr-xilinx toolchain for Kintex7, Artix7, Spartan7 and Zynq7","allTopics":["toolchain","fpga","installer"],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":53,"forksCount":4,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":[0,0,0,1,1,5,3,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,1,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-21T00:19:31.456Z"}},{"type":"Public","name":"prjxray-db","owner":"openXC7","isFork":true,"description":"Project X-Ray Database: XC7 Series","allTopics":[],"primaryLanguage":{"name":"Makefile","color":"#427819"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":31,"license":"Creative Commons Zero v1.0 Universal","participation":[0,0,0,1,1,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-21T00:11:05.030Z"}},{"type":"Public","name":"nextpnr-xilinx-meta","owner":"openXC7","isFork":true,"description":"Metadata for the nextpnr-xilinx xc7 flow","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":3,"license":"Creative Commons Zero v1.0 Universal","participation":[0,0,2,3,1,0,0,0,0,0,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,4,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-20T21:39:50.081Z"}},{"type":"Public","name":"yosys-snap","owner":"openXC7","isFork":false,"description":"SNAP package definition for the Yosys logic synthesis suite","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-14T05:54:50.879Z"}},{"type":"Public","name":"demo-projects","owner":"openXC7","isFork":false,"description":"Demo projects for various Kintex FPGA boards","allTopics":["fpga","blinky"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":2,"starsCount":43,"forksCount":15,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T23:10:50.059Z"}},{"type":"Public","name":"nixpkgs","owner":"openXC7","isFork":true,"description":"Nix Packages collection","allTopics":[],"primaryLanguage":{"name":"Nix","color":"#7e7eff"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":13581,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-18T18:19:32.027Z"}},{"type":"Public archive","name":"db-workspace-for-kintex7","owner":"openXC7","isFork":true,"description":"workspace for prjxray DB for kintex7","allTopics":[],"primaryLanguage":{"name":"Shell","color":"#89e051"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-09T09:24:44.789Z"}},{"type":"Public","name":"fasm","owner":"openXC7","isFork":true,"description":"FPGA Assembly (FASM) Parser and Generator","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":29,"license":"Apache License 2.0","participation":[0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-10-09T07:24:07.825Z"}},{"type":"Public","name":"dsp-tests","owner":"openXC7","isFork":false,"description":"Designs for testing DSP block support","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-04-05T02:39:31.236Z"}},{"type":"Public","name":"iologic-tests","owner":"openXC7","isFork":false,"description":"FPGA toolchain tests for the IO logic tiles (IOI)","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-02-04T02:44:58.834Z"}},{"type":"Public archive","name":"xc7k325t-blinky-nextpnr","owner":"openXC7","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Makefile","color":"#427819"},"pullRequestCount":3,"issueCount":11,"starsCount":42,"forksCount":9,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-01-05T06:41:36.059Z"}},{"type":"Public archive","name":"xc7k325t-picosoc-nextpnr","owner":"openXC7","isFork":false,"description":"Picosoc for the XC7K325T using yosys+nextpnr","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":1,"starsCount":9,"forksCount":1,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-12-05T22:49:52.444Z"}},{"type":"Public","name":"scratchpad","owner":"openXC7","isFork":false,"description":"Project for sharing random stuff, discussions, etc.","allTopics":[],"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":0,"issueCount":4,"starsCount":2,"forksCount":0,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-02-04T03:33:05.529Z"}}],"repositoryCount":18,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"openXC7 repositories"}