Skip to content
View mingfeii's full-sized avatar
Block or Report

Block or report mingfeii

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

本工具用于自动生成一个Wallace Tree算法VerilogHDL代码实例,并附带了一些配套的工具和一个完整的VerilogHDL描述的乘法器。

Verilog 19 1 Updated Jun 1, 2023
Verilog 5 2 Updated Feb 26, 2020

Naive Educational RISC V processor

SystemVerilog 69 12 Updated Apr 26, 2023

毛泽东选集

1,190 358 Updated Feb 5, 2022

Altera Advanced Synthesis Cookbook 11.0

Verilog 85 37 Updated Apr 7, 2023

VeeR EL2 Core

SystemVerilog 236 69 Updated Jul 11, 2024

SERV - The SErial RISC-V CPU

Verilog 1,327 178 Updated Jul 6, 2024

Verilog module for flexible instantiation of ROM/RAM of arbitrary depth and bit width. Automatically reduce BRAM usage through depth division, bit width division, and bit width folding.

SystemVerilog 5 3 Updated Sep 13, 2022

An FPGA-based RISC-V CPU+SoC with a simple and extensible peripheral bus. 基于FPGA的RISC-V CPU+SoC,包含一个简单且可扩展的外设总线。

SystemVerilog 333 69 Updated Sep 14, 2023

Xilinx FPGA PCIe 保姆级教程 ——基于 PCIe XDMA IP核

Batchfile 362 70 Updated Sep 14, 2023

开发者边车,github打不开,github加速,git clone加速,git release下载加速,stackoverflow加速

JavaScript 14,437 1,726 Updated Jul 11, 2024

FPGA-based Ultra-High Throughput JPEG-LS encoder, which provides lossless image compression. 一个超高性能的FPGA JPEG-LS编码器,用来进行无损图像压缩。

SystemVerilog 81 13 Updated Sep 14, 2023

Generic FIFO implementation with optional FWFT

Verilog 52 20 Updated May 27, 2020

All Algorithms implemented in Python

Python 182,091 44,001 Updated Jul 10, 2024

My Python Examples

Python 30,555 12,087 Updated Jul 9, 2024

HDL libraries and projects

Verilog 1,419 1,480 Updated Jul 11, 2024

Verilog code for VGA timing generator

Verilog 6 3 Updated May 23, 2019

《UVM实战》书本源代码和UVM 1.1d源码及Doc

HTML 26 8 Updated Mar 7, 2021

Verification IP for I2C protocol

SystemVerilog 36 71 Updated Sep 22, 2021

Absolute beginner's guide to the de10-nano

Shell 181 43 Updated Mar 23, 2024

Simple and effective parallel CRC calculator written in synthesizable SystemVerilog

SystemVerilog 12 1 Updated Apr 11, 2019
Verilog 22 10 Updated Feb 26, 2024

Open-source high performance AXI4-based HyperRAM memory controller

Verilog 53 11 Updated Oct 6, 2022

An AXI4-based DDR1 controller to realize mass, cheap memory for FPGA. 基于FPGA的DDR1控制器,为低端FPGA嵌入式系统提供廉价、大容量的存储。

Verilog 130 25 Updated Sep 15, 2023

帮助大家进行FPGA的入门,分享FPGA相关的优秀文章,优秀项目

3,569 632 Updated May 15, 2022

MIPI CSI-2 RX

SystemVerilog 26 9 Updated Oct 20, 2021

Examples and exercises for Practices of the Python Pro from Manning Books 🐍📘

Python 432 170 Updated May 16, 2023

A Linux-capable RISC-V multicore for and by the world

SystemVerilog 570 180 Updated Jul 11, 2024

SystemVerilog parser library fully compliant with IEEE 1800-2017

Rust 383 49 Updated Nov 29, 2023

Hardware Description Languages

914 93 Updated May 22, 2024
Next