Skip to content

Commit

Permalink
Update AXI_Master.v
Browse files Browse the repository at this point in the history
  • Loading branch information
amrkhalid-star902 committed Aug 24, 2023
1 parent 67dd606 commit 9ac8b31
Showing 1 changed file with 7 additions and 5 deletions.
12 changes: 7 additions & 5 deletions AXI/AXI_Master.v
Original file line number Diff line number Diff line change
@@ -1,6 +1,7 @@

`timescale 1ns / 1ps



module AXI_Master#(

parameter DATAW = 32,
Expand Down Expand Up @@ -33,8 +34,8 @@ parameter MEMSIZE = 4096
output reg WVALID,

//Signals of the Write Response channel
input BID,
input BRESP,
input [(DATAW/8)-1 : 0] BID,
input [SIZE-2 : 0] BRESP,
input BVALID,
output reg BREADY,

Expand All @@ -51,7 +52,7 @@ parameter MEMSIZE = 4096
//Signals of the Read Data channels
output reg [(DATAW/8)-1 : 0] RID,
input [DATAW-1 : 0] RDATA,
input RRESP,
input [SIZE-2 : 0] RRESP,
input RLAST,
input RVALID,
output reg RREADY,
Expand Down Expand Up @@ -1030,7 +1031,8 @@ parameter MEMSIZE = 4096
endcase

end



endmodule


0 comments on commit 9ac8b31

Please sign in to comment.