Skip to content
View PacoReinaCampo's full-sized avatar
🏠
Working from home
🏠
Working from home
Block or Report

Block or report PacoReinaCampo

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Beta Lists are currently in beta. Share feedback and report bugs.
Showing results

Digital logic design tool and simulator

Java 4,606 603 Updated Aug 5, 2024

GPT4All: Chat with Local LLMs on Any Device

C++ 68,325 7,495 Updated Aug 6, 2024

Verilator open-source SystemVerilog simulator and lint system

C++ 2,355 568 Updated Aug 6, 2024

GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing.

C 590 117 Updated Jul 29, 2024

The official git repository for Contiki, the open source OS for the Internet of Things

C 3,697 2,582 Updated Apr 6, 2024

The OpenRISC 1000 architectural simulator

C 70 43 Updated Oct 7, 2023

Spike, a RISC-V ISA Simulator

C 2,310 821 Updated Aug 5, 2024

Official QEMU mirror. Please see https://www.qemu.org/contribute/ for how to submit changes to QEMU. Pull Requests are ignored. Please only use release tarballs from the QEMU website.

C 9,752 5,415 Updated Aug 6, 2024

Buildroot, making embedded Linux easy. Note that this is not the official repository, but only a mirror. The official Git repository is at https://gitlab.com/buildroot.org/buildroot/. Do not open i…

Makefile 2,611 2,316 Updated Aug 6, 2024

OpenEmbedded/Yocto layer for RISC-V Architecture

BitBake 349 134 Updated Jul 25, 2024

Qiskit is an open-source SDK for working with quantum computers at the level of extended quantum circuits, operators, and primitives.

Python 4,932 2,310 Updated Aug 6, 2024

Magic VLSI Layout Tool

C 455 101 Updated Aug 5, 2024

Project Website: https://inkscape.org - Code Repository: https://gitlab.com/inkscape/inkscape - Draw freely. 🖌

2,098 177 Updated Mar 3, 2022

Algorithm to hardware compilation tools (e.g. C to VHDL).

VHDL 39 16 Updated Jul 18, 2024

Multi-platform nightly builds of open source digital design and verification tools

Shell 759 69 Updated Aug 6, 2024

VUnit is a unit testing framework for VHDL/SystemVerilog

VHDL 707 255 Updated Aug 2, 2024

Official doxygen git repository

C++ 5,523 1,257 Updated Aug 6, 2024

Flexible and powerful data analysis / manipulation library for Python, providing labeled data structures similar to R data.frame objects, statistical functions, and much more

Python 42,906 17,668 Updated Aug 6, 2024

Verilog library for ASIC and FPGA designers

Verilog 1,135 283 Updated May 8, 2024

SonicBOOM: The Berkeley Out-of-Order Machine

Scala 1,661 413 Updated Jul 21, 2024

The MyHDL development repository

Python 1,028 248 Updated Jul 7, 2024

This is an active mirror of the KiCad development branch, which is hosted at GitLab (updated every time something is pushed). Pull requests on GitHub are not accepted or watched.

C++ 1,810 479 Updated Aug 6, 2024
SystemVerilog 62 16 Updated Sep 20, 2023

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

Python 387 74 Updated Aug 6, 2024

🌊 Digital timing diagram rendering engine

JavaScript 2,873 353 Updated Apr 2, 2024

Open source O-RAN 5G CU/DU solution from Software Radio Systems (SRS) https://docs.srsran.com/projects/project

C++ 444 150 Updated Jul 31, 2024

UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improv…

VHDL 351 88 Updated Jul 3, 2024

OpenSCAD - The Programmers Solid 3D CAD Modeller

C++ 6,729 1,194 Updated Aug 5, 2024

VHDL synthesis (based on ghdl)

VHDL 299 32 Updated Jun 29, 2024

SCR1 is a high-quality open-source RISC-V MCU core in Verilog

SystemVerilog 813 269 Updated May 15, 2024
Next