US20160099150A1 - Finfets and methods of forming finfets - Google Patents
Finfets and methods of forming finfets Download PDFInfo
- Publication number
- US20160099150A1 US20160099150A1 US14/506,348 US201414506348A US2016099150A1 US 20160099150 A1 US20160099150 A1 US 20160099150A1 US 201414506348 A US201414506348 A US 201414506348A US 2016099150 A1 US2016099150 A1 US 2016099150A1
- Authority
- US
- United States
- Prior art keywords
- fins
- fin
- forming
- region
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 135
- 239000002019 doping agent Substances 0.000 claims abstract description 94
- 239000000758 substrate Substances 0.000 claims abstract description 88
- 230000008569 process Effects 0.000 claims description 96
- 125000006850 spacer group Chemical group 0.000 claims description 38
- 238000000137 annealing Methods 0.000 claims description 26
- 238000005137 deposition process Methods 0.000 claims description 14
- 238000000059 patterning Methods 0.000 claims description 4
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 claims description 3
- 229910000070 arsenic hydride Inorganic materials 0.000 claims description 3
- 230000015572 biosynthetic process Effects 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 100
- 239000004065 semiconductor Substances 0.000 description 24
- 239000000463 material Substances 0.000 description 20
- 238000005229 chemical vapour deposition Methods 0.000 description 17
- 239000007943 implant Substances 0.000 description 17
- 238000002955 isolation Methods 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 229910052796 boron Inorganic materials 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 6
- 229910052785 arsenic Inorganic materials 0.000 description 5
- 229910052733 gallium Inorganic materials 0.000 description 5
- 229910052698 phosphorus Inorganic materials 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- -1 InAlAs Inorganic materials 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 230000005669 field effect Effects 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 235000012431 wafers Nutrition 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 239000012774 insulation material Substances 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910005540 GaP Inorganic materials 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- 229910000673 Indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910017115 AlSb Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910005542 GaSb Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004541 SiN Inorganic materials 0.000 description 1
- 229910020751 SixGe1-x Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000011417 postcuring Methods 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000004080 punching Methods 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000007790 solid phase Substances 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/223—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
- H01L21/2236—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02362—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02664—Aftertreatments
- H01L21/02694—Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/225—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
- H01L21/2251—Diffusion into or out of group IV semiconductors
- H01L21/2252—Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/225—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
- H01L21/2251—Diffusion into or out of group IV semiconductors
- H01L21/2252—Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
- H01L21/2253—Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/266—Bombardment with radiation with high-energy radiation producing ion implantation using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
- H01L21/31155—Doping the insulating layers by ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
- H01L29/0642—Isolation within the component, i.e. internal isolation
- H01L29/0649—Dielectric regions, e.g. SiO2 regions, air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/36—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66492—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/66803—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Definitions
- Semiconductor devices are used in a large number of electronic devices, such as computers, cell phones, and others.
- Semiconductor devices comprise integrated circuits that are formed on semiconductor wafers by depositing many types of thin films of material over the semiconductor wafers, and patterning the thin films of material to form the integrated circuits.
- Integrated circuits typically include field-effect transistors (FETs).
- planar FETs have been used in integrated circuits.
- planar FETs may generally incur problems when reduced in size.
- Some of these problems include sub-threshold swing degradation, significant drain induced barrier lowering (DIBL), fluctuation of device characteristics, and leakage.
- Fin field-effect transistors (FinFETs) have been studied to overcome some of these problems.
- FIG. 1 is an example of a Fin Field-Effect Transistor (FinFET) in a three-dimensional view.
- FinFET Fin Field-Effect Transistor
- FIGS. 2A-C , 3 A-C, 4 A-C, 5 A-C, 6 A-C, 7 A-C, 8 A-D, 9 A-C, 10 A-C, 11 A-C, 12 A-D, and 13 A- 13 C are cross-sectional views of intermediate stages in the manufacturing of FinFETs in accordance with some embodiments.
- FIGS. 14A and 14B are a process flow of a process in accordance with some embodiments.
- FIGS. 15A-C are doping profiles of structures in accordance with some embodiments.
- first and second features are formed in direct contact
- additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
- present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
- the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
- the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- Fin Field-Effect Transistors Fin Field-Effect Transistors
- Methods are discussed in a particular order, various other method embodiments may be performed in any logical order and may include fewer or more steps described herein.
- FIG. 1 illustrates an example of a FinFET 30 in a three-dimensional view.
- the FinFET 30 comprises a fin 36 on a substrate 32 .
- the substrate 32 includes isolation regions 34 , and the fin 36 protrudes above and from between neighboring isolation regions 34 .
- a gate dielectric 38 is along sidewalls and over a top surface of the fin 36 , and a gate electrode 40 is over the gate dielectric 38 .
- Source/drain regions 42 and 44 are disposed in opposite sides of the fin 36 with respect to the gate dielectric 38 and gate electrode 40 .
- FIG. 1 further illustrates reference cross-sections that are used in later figures.
- Cross-section A-A is along a longitudinal axis of the fin 36 and in a direction of, for example, a current flow between the source/drain regions 42 and 44 .
- Cross-section B-B is perpendicular to cross-section A-A and across a channel, gate dielectric 38 , and gate electrode 40 of the FinFET 30 .
- Cross-section C-C is parallel to cross-section B-B and across a source/drain region. Subsequent figures refer to these reference cross-sections for clarity.
- FIGS. 2A through 13C are cross-sectional views of intermediate stages in the manufacturing of FinFETs in accordance with an exemplary embodiment
- FIGS. 14A and 14B are a process flow of the process shown in FIGS. 2 through 13C
- Figures ending with an “A” designation are illustrated along cross-section A-A illustrated in FIG. 1
- figures ending with a “B” designation are illustrated along a similar cross-section B-B
- figures ending with a “C” designation are illustrated along a similar cross-section C-C, except for multiple FinFETs.
- FIGS. 2A , 2 B, and 2 C illustrate a substrate 50 , semiconductor strips 52 , isolation regions 54 , gate electrodes 60 , and mask layer 62 .
- Substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g., with a p-type or an n-type dopant) or undoped.
- the substrate 50 may be a wafer, such as a silicon wafer.
- an SOI substrate comprises a layer of a semiconductor material formed on an insulator layer.
- the insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like.
- BOX buried oxide
- the insulator layer is provided on a substrate, typically a silicon or glass substrate.
- a substrate typically a silicon or glass substrate.
- Other substrates, such as a multi-layered or gradient substrate may also be used.
- the semiconductor material of the substrate 50 may include silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
- the substrate 50 has a first region 50 A and a second region 50 B.
- the first region 50 A can be for forming n-type devices, such as NMOS transistors, such as n-type FinFETs.
- the second region 50 B can be for forming p-type devices, such as PMOS transistors, such as p-type FinFETs.
- the semiconductor strips 52 , isolation regions 54 , and fins 56 are formed over the substrate 50 .
- the isolation regions 54 extend from a top surface of the substrate 50 into the substrate 50 .
- the isolation regions 54 may be shallow trench isolation (STI) regions, and are referred to as STI regions 54 hereinafter.
- the formation of the STI regions 54 may include etching the substrate 50 to form trenches (not shown), and filling the trenches with a dielectric material to form the STI regions 54 .
- the STI regions 54 may be formed of an oxide, such as silicon oxide, a nitride, the like, or a combination thereof, and may be formed by a high density plasma chemical vapor deposition (HDP-CVD), a flowable CVD (FCVD) (e.g., a CVD-based material deposition in a remote plasma system and post curing to make it convert to another material, such as an oxide), the like, or a combination thereof.
- FCVD flowable CVD
- Other insulation materials formed by any acceptable process may be used.
- the insulation material is silicon oxide formed by a FCVD process.
- An anneal process may be performed once the insulation material is formed.
- the portion of substrate 50 between neighboring STI regions 54 is referred to as a semiconductor strips 52 throughout the description.
- the top surfaces of the semiconductor strips 52 and the top surfaces of the STI regions 54 may be substantially level with each other, such as by performing a chemical mechanical polish (CMP) after depositing the material of the STI regions 54 , although
- the STI regions 54 may then be recessed have portions of the semiconductor strips 52 extend above the top surfaces of the STI regions 54 .
- the protruding portions of the semiconductor strips 52 will be referred to as fins 56 hereinafter.
- the fins 56 have a height H 1 from top surfaces of the fins 56 to top surfaces of the STI regions, and the fins 56 and the semiconductor strips 52 have a combined height H 2 from top surfaces of the fins 56 to top surfaces of the substrate 50 (e.g. at the bottom of the STI regions 54 ).
- the height H 1 is from about 20 nm to about 40 nm
- the height H 2 is from about 40 nm to about 60 nm.
- a dielectric layer can be formed over a top surface of the substrate 50 ; trenches can be etched through the dielectric layer; homoepitaxial structures can be epitaxially grown in the trenches; and the dielectric layer can be recessed such that the homoepitaxial structures protrude from the dielectric layer to form fins.
- heteroepitaxial structures can be used for the fins.
- the fins 56 in FIGS. 2A through 2C can be recessed, and a material different from the fins 56 may be epitaxially grown in their place.
- a dielectric layer can be formed over a top surface of the substrate 50 ; trenches can be etched through the dielectric layer; heteroepitaxial structures can be epitaxially grown in the trenches using a material different from the substrate 50 ; and the dielectric layer can be recessed such that the heteroepitaxial structures protrude from the dielectric layer to form fins.
- the grown materials may be in situ doped during growth, which may obviate prior implanting of the fins although in situ and implantation doping may be used together. Still further, it may be advantageous to epitaxially grow a material in an NMOS region different from the material in a PMOS region.
- the fins 56 may comprise silicon germanium (Si x Ge 1-x , where x can be between approximately 0 and 100), silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, a II-VI compound semiconductor, or the like.
- the available materials for forming III-V compound semiconductor include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.
- a gate structure is formed over the fins 56 and the STI regions 54 .
- the gate structure includes a gate dielectric layer 58 and a gate electrode 114 with a mask layer 62 over the gate electrode 60 .
- the gate structure crosses over a plurality of the fins 56 and the STI regions 54 .
- the gate structure has a longitudinal axis that is substantially perpendicular to the longitudinal axes of fins 56 .
- the gate structure is a dummy gate structure and will be replaced with a replacement gate structure using a “gate-last” or replacement-gate process.
- the gate structure is an active gate and is formed in a “gate-first process” and will not be replaced.
- a gate dielectric layer 58 may be formed and patterned to form the gate dielectric 58 ′ (see FIGS. 6A , 6 B, and 6 C).
- the gate dielectric layer 58 may be formed over the fins 56 and the STI regions 54 by thermal oxidation, in-situ steam generation (ISSG) process, chemical vapor deposition (CVD), a spin-on-glass process, sputtering, or any other methods known and used in the art for forming a gate dielectric layer.
- the gate dielectric layer 58 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, the like, or a combination thereof.
- the gate dielectric layer includes dielectric materials having a high dielectric constant (k value), for example, greater than 3.9.
- the materials may include silicon nitrides, oxynitrides, metal oxides such as HfO 2 , HfZrO x , HfSiO x , HfTiO x , HfAlO x , the like, or combinations and multi-layers thereof.
- a gate electrode 60 is formed over the gate dielectric layer.
- the gate electrode 60 may be formed by first forming a gate electrode layer (not shown) over the fins 56 and the STI regions 54 and then patterning the gate electrode layer to form the gate electrode 60 .
- the gate electrode layer is a conductive material and may be selected from a group comprising polycrystalline-silicon (poly-Si), poly-crystalline silicon-germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic oxides, and metals.
- the gate electrode layer includes a metal-containing material such as TiN, TaN, TaC, Co, Ru, Al, combinations thereof, or multi-layers thereof.
- the gate electrode layer may be deposited by CVD, physical vapor deposition (PVD), sputter deposition, or other techniques known and used in the art for depositing conductive materials.
- the top surface of the gate electrode layer may have a non-planar top surface and may be planarized by, for example, performing a CMP process after it is deposited.
- the mask layer 62 such as a photoresist, hard mask, combinations thereof, or multi-layers thereof—may be formed and patterned over the gate electrode layer. The patterned mask layer 62 may then be transferred to the material of the gate electrode layer using acceptable photolithography and etching techniques to form the gate electrode 60 .
- a seal spacer layer 64 is formed on the exposed surfaces of the gate electrodes 60 , mask layers 62 , on the gate dielectric layer 58 over the fins 56 , and on top surfaces of the STI regions 54 .
- the seal spacer layer 64 may be formed of an oxide, nitride, SiC, SiCN, the like, or a combination thereof by an oxidation process, CVD, the like, or a combination thereof.
- a mask 66 is formed over the second region 50 B of the substrate 50 while the first region 50 A is exposed.
- the mask protects the second region 50 B during subsequent processing of the first region 50 A.
- the mask 66 may be a photoresist, a hard mask, such as SiN, the like, or a combination thereof.
- the mask 66 is then patterned by an acceptable photolithography process or the like.
- a selective implant process 68 is performed on the first region 50 A of the substrate 50 .
- the selective implant process 68 changes the properties of the seal spacer layer 64 to allow a selective etch of the seal spacer layer 64 to remove portions of the seal spacer layer 64 while other portions of the seal spacer layer 64 remain.
- the selective implant process 68 includes implanting oxygen, fluorine, the like, or a combination thereof.
- the implanted region of the seal spacer layer 64 has a higher etch rate than the non-implanted region of the seal spacer layer 64 .
- the selective implant process 68 is performed at an angle substantially perpendicular to a major surface of the substrate 50 such that the horizontal surfaces (i.e., surfaces that are substantially parallel to the major surface of the substrate 50 ) of the seal spacer layer 64 are implanted during the selective implant process 68 while the vertical surfaces (i.e., surfaces that are substantially perpendicular to the major surface of the substrate 50 ) of the seal spacer layer 64 are not implanted.
- a selective etch process is performed on the seal spacer layer 64 and the gate dielectric layer 58 in the first region 50 A of the substrate 50 to form seal spacers 64 ′ and gate dielectric 58 ′ in the first region 50 A.
- the seal spacers 64 ′ are formed on sidewalls of the gate electrodes 60 and mask layers 62 in the first region 50 A.
- the process gas of the selective etch includes CF 4 , O 2 , and HBr, the like, or a combination thereof.
- a plasma doping process 70 is performed on the first region 50 A of the substrate 50 to form first doped regions 72 A in the fins 56 .
- the plasma doping process 70 implants n-type dopants (e.g. P, As, etc.) to form lightly doped drain (LDD) regions.
- n-type dopants e.g. P, As, etc.
- LDD lightly doped drain
- the plasma doping process 70 is performed in the first region 50 A of the substrate 50 utilizes from about 0.1% to about 0.9% of AsH 3 or PH 3 /He, and from about 99.1% to about 99.9% H 2 .
- the plasma doping process 70 is performed at a constant energy of between about 0.2 kiloelectron-volts (keV) and about 5 keV.
- the first doped regions 72 A have a dopant concentration of As/P in a range from about 1E20 atoms/cm 3 to about 3E21 atoms/cm 3 .
- the plasma doping process 70 can form an abrupt doping profile junction at a depth of from about 5 nm to about 10 nm from surfaces of the fin 56 with a doping profile abruptness of about 1 nm/decade.
- the mask 66 is removed such that the seal spacer layer 64 in the second region 50 B is exposed.
- the mask 66 may be removed by an appropriate ashing process, such as using an oxygen plasma.
- the mask 66 may be removed using an etch, a CMP process, the like, or a combination thereof.
- the mask 66 may be removed by a etch process utilizing CF 4 , O 2 , and HBr, the like, or a combination thereof.
- FIG. 8D illustrates a close-up view of the fins 56 and the first poped regions 72 A from FIG. 8C .
- the first doped regions 72 A are located in top portions (tips) of the fins 56 .
- a dopant source layer 74 is formed by a plasma deposition process.
- the plasma deposition process forms a conformal layer having a substantially uniform thickness on top surfaces and sidewalls of the fins 56 in the first region 50 A and on the seal spacer layer 64 in the second region 50 B of the substrate 50 .
- the plasma deposition process utilizes from about from about 15% to about 100% of AsH 3 or PH 3 /He, and from about 85% to about 0% H 2 .
- the thickness of the dopant source layer 74 may be in a range from about 1 nm to about 5 nm, although other thicknesses are also within the scope of the present disclosure.
- the dopant source layer 74 is formed of phosphosilicate glass (PSG) and/or other materials that comprise a dopant susceptible to subsequent diffusion into the fins 56 .
- the dopant source layer 74 may be formed by sputtering, CVD, PECVD, metal organic CVD (MOCVD), furnace CVD (FCVD), atomic layer deposition (ALD), plasma-enhanced ALD (PEALD), the like, or a combination thereof.
- plasma doping process 70 was performed before the plasma deposition process, the order of these processes could be reversed in other embodiments which are within the scope of the present disclosure.
- a capping layer 76 is formed over the dopant source layer 74 in the first and second regions 50 A and 50 B of the substrate 50 .
- the capping layer 76 helps to prevent the dopant source layer 74 from evaporating during the subsequent anneal process.
- the capping layer 76 is formed of an oxide, such as Al 2 O 3 , a nitride, the like, or a combination thereof.
- the capping layer may be formed by sputtering, CVD, PECVD, MOCVD, FCVD, ALD, PEALD, the like, or a combination thereof.
- an annealing process is performed on the substrate 50 .
- FIGS. 11A , 11 B, and 11 C illustrate the substrate 50 after the annealing process.
- the annealing process diffuses the dopants from the dopant source layer 74 into the fins 56 and also activates the dopants in the first doped regions and the dopants from the dopant source layer 74 forming the second doped regions 72 B in the fins 56 in the first region 50 A of the substrate 50 .
- the first doped regions 72 A and the second doped regions 72 B form an LDD region for the FinFET in the first region 50 A of the substrate.
- the seal spacer layer 64 and the gate dielectric layer 58 in over the fins 56 in the second region 50 B of the substrate 50 act as blocking layers so that the dopants from the dopant source layer 74 do diffuse into the fins 56 in the second region 50 B.
- the second doped regions 72 B extend along the top portions (tips) and sidewalls of the fins 56 and provide a substantially uniform doping profile along the sidewalls of the fins 56 .
- the second doped regions 72 B may overlap the first doped regions 72 A in the top portions (tips) of the fins 56 .
- the second doped regions 72 B have a dopant concentration of As/P in a range from about 5E19 atoms/cm 3 to about 2E20 atoms/cm 3 .
- the second doped regions 72 B can form an abrupt doping profile junction at a depth of from about 5 nm to about 10 nm from surfaces of the fin 56 .
- FIG. 11C illustrates a portion of the fins 56 between second doped regions 72 B, in some embodiments, the fins 56 are formed to have a width such that the second doped regions 72 B along the sidewalls meet to uniformly dope the entire fin 56 .
- the annealing process may include one or more annealing processes may be performed at this stage to affect the solid phase diffusion of dopant from the dopant source layer 74 into the fins 56 .
- the one or more annealing processes may entail subjecting the substrate 50 to a temperature ranging between about 100° C. and about 1200° C. for a time period ranging between about 1 second and about 10 hours.
- other annealing parameters are also within the scope of present disclosure.
- FIGS. 12A , 12 B, 12 C, 12 D and step 224 the capping layer 76 and the dopant source layer 74 are removed from the first and second regions 50 A and 50 B of the substrate 50 .
- the capping layer 76 and the dopant source layer 74 may be removed using an etch, a CMP process, the like, or a combination thereof.
- FIG. 12D illustrates a close-up view of the fins 56 and the first doped regions 72 A and the second doped regions 72 B from FIG. 12C .
- the first doped regions 72 A are located in top portions (tips) of the fins 56 and the second doped regions 72 B are located in the sidewalls of the fins 56 .
- the fins 56 have the desired fin LDD doping profile.
- the top portions (tips) of the fins 56 have the first doped regions 72 A and are doped to a higher concentration than middle/bottom portions (sidewalls) of the fins 56 which include the second doped regions 72 B.
- This doping profile is beneficial because the top portions of the fins 56 have higher carrier flow due to a lower threshold voltage because the top portions of the fins 56 are controlled by three gates (e.g. the gate electrode 60 is on three sides of top portions) and the middle/bottom portions of the fins 56 are controlled by two gates, and thus, have a slightly higher threshold voltage and slightly lower carrier flow.
- Steps 226 - 242 perform similar processes on the second region 50 B (e.g. p-type FinFET) of the substrate 50 as steps 208 - 224 did to the first region 50 A (e.g. n-type FinFET) of the substrate 50 . Details of these steps that are similar to those described above are not repeated herein.
- step 226 a mask is formed over the first region 50 A of the substrate.
- This mask and step may be similar to the mask and step described above in step 208 and the description is not repeated herein.
- step 228 a selective implant is performed to implant dopants into the seal spacer layer 64 in the second region 50 B of the substrate 50 .
- This selective implant process and step may be similar to the selective implant process and step described above in step 210 and the description is not repeated herein.
- a selective etch is on the seal spacer layer 64 and the gate dielectric layer 58 in the second region 50 B of the substrate 50 .
- This selective etch process and step may be similar to the selective etch process and step described above in step 212 and the description is not repeated herein.
- a plasma doping process is performed on the second region 50 B of the substrate 50 to form third doped regions 82 A in the fins 56 (see FIGS. 13A , 13 B, and 13 C).
- the plasma doping process implants p-type dopants (e.g. B, Ga, etc.) to form LDD regions in the fins 56 of the second region 50 B of the substrate 50 .
- the plasma doping process is performed in the second region 50 B of the substrate 50 utilizes from about 0.1% to about 0.9% of B 2 H 6 or BF 3 /H 2 , and from about 99.1% to about 99.9% He.
- the plasma doping process is performed at a constant energy of between about 2 keV and about 5 keV.
- the third doped regions 82 A have a dopant concentration of B/Ga in a range from about 1E20 atoms/cm 3 to about 3E21 atoms/cm 3 .
- the plasma doping process can form an abrupt doping profile junction at a depth of from about 5 nm to about 10 nm from surfaces of the fin 56 with a doping profile abruptness of about 1 nm/decade.
- step 234 the mask is removed from the first region 50 A of the substrate 50 .
- This mask removal process and step may be similar to the mask removal and step described above in step 216 and the description is not repeated herein.
- a dopant source layer is formed by a plasma deposition process.
- the plasma deposition process forms a conformal layer having a substantially uniform thickness on top surfaces and sidewalls of the fins 56 in the second region 50 B and on the mask layer, if present, or other protective structure in the first region 50 A of the substrate 50 .
- the plasma deposition process utilizes from about from about 15% to about 100% of B 2 H 6 or BF 3 /H 2 , and from about 85% to about 0% He.
- the thickness of the dopant source layer may be in a range from about 1 nm to about 5 nm, although other thicknesses are also within the scope of the present disclosure.
- the dopant source layer is formed of BSG, and/or other materials that comprise a dopant susceptible to subsequent diffusion into the fins 56 .
- the dopant source layer may be formed by sputtering, CVD, PECVD, MOCVD, FCVD, ALD, PEALD, the like, or a combination thereof.
- a capping layer is formed over the dopant source layer in the second region 50 B of the substrate 50 .
- the capping layer and the step may be similar to the capping layer and step described above in step 220 and the description is not repeated herein.
- step 240 an anneal process is performed on the substrate 50 .
- This annealing process diffuses the dopants from the dopant source layer into the fins 56 and also activates the dopants forming the fourth doped regions 82 B in the fins 56 in the second region 50 B of the substrate 50 .
- This annealing process and step may be similar to the annealing process and step described above in step 222 and the description is not repeated herein.
- step 242 the capping layer and dopant source layer are removed.
- This removal process and step may be similar to the removal process and step described above in step 224 and the description is not repeated herein.
- the fourth doped regions 82 B extend along the top portions (tips) and sidewalls of the fins 56 and provide a substantially uniform doping profile along the sidewalls of the fins 56 .
- the fourth doped regions 82 B may overlap the third doped regions 82 A in the top portions (tips) of the fins 56 .
- the fourth doped regions 82 B have a dopant concentration of B/Ga in a range from about 5E19 atoms/cm 3 to about 2E20 atoms/cm 3 .
- the fourth doped regions 82 B can form an abrupt doping profile junction at a depth of from about 5 nm to about 10 nm from surfaces of the fin 56 .
- FIG. 13C illustrates a portion of the fins 56 between fourth doped regions 82 B, in some embodiments, the fins 56 are formed to have a width such that the fourth doped regions 82 B along the sidewalls meet to uniformly dope the entire fin 56 .
- gate spacers may be formed on sidewalls of the gate electrodes 60 .
- the gate spacers (not shown) may be formed on opposite sides of the gate electrodes 60 .
- the gate spacers may be formed by blanket depositing a spacer layer (not shown) on the previously formed structure.
- the gate spacers may include a spacer liner (not shown) comprising SiN, SiC, SiGe, oxynitride, oxide, combinations thereof, or the like.
- the spacer layer may comprise SiN, oxynitride, SiC, SiON, oxide, combinations thereof, or the like and may be formed by methods utilized to form such a layer, such as CVD, plasma enhanced CVD, sputter, and other methods known in the art.
- the gate spacers are then patterned, for example, by anisotropically etching to remove the spacer layer from the horizontal surfaces of the structure.
- source/drain regions may be formed in the fins 56 .
- the source/drain regions (not shown in FIGS. 13A , 13 B, and 13 C, see source/drain regions 42 and 44 in FIG. 1 ) may be formed in the fins 56 .
- the source/drain regions may be doped by with the appropriate dopants to complement the dopants in the fins 56 .
- the source/drain regions may be formed by forming recesses in the fins 56 and epitaxially growing material in the recesses.
- the source/drain regions may be doped either through an implantation method as discussed above, or else by in-situ doping as the material is grown.
- the source/drain regions may comprise and a heavily doped region in addition to the LDD regions discussed above.
- the source/drain regions may then be heavily doped. This forms LDD regions and heavily doped regions.
- the LDD regions are primarily underneath the gate spacers while the heavily doped regions are outside of the gate spacers along the fins 56 .
- the fins 56 include an anti-punch through region (not shown). This anti-punch through region prevents the short channel effect of electrons or holes punching through the channel from the source to the drain.
- the anti-punch through region may be doped the same as the fin 56 but with a higher dopant concentration.
- an etch stop layer (ESL) and an inter-layer dielectric (ILD) may be formed over and adjoining the gate electrodes 60 and fins 56 .
- the ESL and the ILD may be formed over the gate spacers, the gate electrodes 60 , the source/drain regions, the fins 56 , and the STI regions 54 .
- the ESL may be conformally deposited over components on the substrate 50 .
- the ESL is formed of SiN, SiCN, SiON, the like, or a combination thereof and is formed by ALD, molecular layer deposition (MLD), a furnace process, CVD, PECVD, the like, or a combination thereof.
- the ILD may be formed over the ESL.
- the ILD may be conformally deposited over the ESL.
- the ILD may comprise SiO 2 , SiON, the like, or a combination thereof.
- the ILD may be formed by CVD, ALD, PECVD, subatmospheric CVD (SACVD), flowable CVD, a high density plasma (HDP), a spin-on-dielectric process, the like, or a combination thereof.
- the ILD may be planarized by using a CMP process to remove portions of the ILD.
- CMP process may be planarized by using other planarization techniques, such as etching.
- the dummy gate and gate dielectric may be removed.
- the gate electrode 60 and the gate dielectric 58 ′ are removed.
- an active gate and gate dielectric is formed in the place of the removed gate electrode and gate dielectric.
- contacts and inter-metal dielectrics (IMD) and their corresponding metallizations may be formed through the ESL and ILD to the fins 56 and the gate electrodes 60 .
- the contacts may be formed to the gate electrodes 60 and the source/drain regions.
- FIGS. 15A , 15 B, and 15 C are doping profiles of structures in accordance with some embodiments.
- FIG. 15A illustrates the doping profile of the fins 56 after the plasma doping process in steps 214 and 232 but without the plasma deposition process and annealing process.
- a semiconductor structure 300 is shown with an upper region 302 and a lower region 304 .
- the upper region 302 has a high dopant concentration and the lower region 304 has no doping concentration or almost zero doping concentration.
- the upper region 302 has a dopant concentration of B, Ga, As, P, the like, or a combination thereof in a range from about 1E20 atoms/cm 3 to about 3E21 atoms/cm 3 and the lower region is non-doped.
- the plasma doping process forms an abrupt junction (at the interface between the upper region 302 and the lower region 304 ) in the doping profile.
- FIG. 15B illustrates the doping profile of the fins 56 after the plasma deposition process and annealing process 218 - 222 and 236 - 240 but without the plasma doping process.
- the semiconductor structure 300 is shown with single region 306 .
- the region 306 has a uniform doping profile, but typically a lower dopant concentration than a region doped by a plasma doping process.
- the region 306 has a dopant concentration of B, Ga, As, P, the like, or a combination thereof in a range from about 5E19 atoms/cm 3 to about 2E20 atoms/cm 3 .
- FIG. 15C illustrates the doping profile of the fins 56 after the plasma doping process in steps 214 and 232 and the plasma deposition process and annealing process 218 - 222 and 236 - 240 .
- the semiconductor structure 300 is shown with an upper region 308 and a lower region 310 .
- the upper region 308 has a high dopant concentration and the lower region 304 has a lower dopant concentration.
- the upper region 302 has a dopant concentration of B, Ga, As, P, the like, or a combination thereof in a range from about 1E20 atoms/cm 3 to about 3E21 atoms/cm 3 and the lower region has a dopant concentration of B, Ga, As, P, the like, or a combination thereof in a range from about 5E19 atoms/cm 3 to about 2E20 atoms/cm 3 .
- the dopant concentration of the upper region 308 is determined by the plasma doping process and the dopant concentration of the lower region is determined by the plasma deposition/annealing processes. This hybrid doping technique provides a high dopant concentration at the top portions of the fins 56 while providing a uniform dopant concentration in the middle/bottom portions of the fins 56 .
- the fins 56 have the desired fin LDD doping profile.
- the top portions (tips) of the fins 56 have the first doped regions 72 A and are doped to a higher concentration than middle/bottom portions (sidewalls) of the fins 56 which include the second doped regions 72 B.
- the top portions (tips) of the fins 56 have the third doped regions 82 A and are doped to a higher concentration than middle/bottom portions (sidewalls) of the fins 56 which include the fourth doped regions 82 B.
- This doping profile is beneficial because the top portions of the fins 56 have higher carrier flow due to a lower threshold voltage because the top portions of the fins 56 are controlled by three gates (e.g. the gate electrode 60 is on three sides of top portions) and the middle/bottom portions of the fins 56 are controlled by two gates, and thus, have a slightly higher threshold voltage and slightly lower carrier flow.
- the hybrid doping technique does not use beam line implant process, and thus, prevents the defects (e.g. twin boundary defects) caused by beam line implant processes.
- the middle/bottom portions of the fins are not doped with an implant process, there is no implant shadowing effects that result in low doping or no doping towards the bottom of the fins.
- An embodiment is a method including forming a fin on a substrate, forming a first doped region in a top portion of the fin, the first doped region having a first dopant concentration, and forming a second doped region in a middle and bottom portion of the fin, the second doped region having a second dopant concentration, the second dopant concentration being less than the first dopant concentration.
- Another embodiment is a method including forming a first set of fins and a second set of fins on a substrate, the first set of fins being in a first region of the substrate, and the second set of fins being in a second region of the substrate, forming a first gate over the first set of fins and a second gate over the second set of fins, forming a first mask over the second region of the substrate, and performing a first plasma doping process on the first set of fins to form first doped regions in top portions of the first set of fins.
- the method further includes removing the first mask over the second region of the substrate, forming a first dopant source layer on top surfaces and sidewalls of the first set of fins, forming a first capping layer over the first dopant source layer, and annealing the first set of fins to form second doped regions along the sidewalls of the first set of fins.
- a further embodiment is a structure including a fin on a substrate, the fin comprising an upper portion and a lower portion, the upper portion having a first dopant concentration of a first dopant, the lower portion having a second dopant concentration of the first dopant, the first dopant concentration being greater than the second dopant concentration, isolation regions in the substrate and on opposing sides of the fin, the upper and lower portion of the fin protruding from between the isolation regions, and a gate structure along sidewalls and over a top surface of the fin, the gate structure defining a channel region in the fin, the upper portion and the lower portion of the fin being adjacent the channel region in the fin.
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Plasma & Fusion (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- High Energy & Nuclear Physics (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
Abstract
Description
- Semiconductor devices are used in a large number of electronic devices, such as computers, cell phones, and others. Semiconductor devices comprise integrated circuits that are formed on semiconductor wafers by depositing many types of thin films of material over the semiconductor wafers, and patterning the thin films of material to form the integrated circuits. Integrated circuits typically include field-effect transistors (FETs).
- Conventionally, planar FETs have been used in integrated circuits. However, with the ever increasing density and decreasing footprint requirements of modern semiconductor processing, planar FETs may generally incur problems when reduced in size. Some of these problems include sub-threshold swing degradation, significant drain induced barrier lowering (DIBL), fluctuation of device characteristics, and leakage. Fin field-effect transistors (FinFETs) have been studied to overcome some of these problems.
- Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
-
FIG. 1 is an example of a Fin Field-Effect Transistor (FinFET) in a three-dimensional view. -
FIGS. 2A-C , 3A-C, 4A-C, 5A-C, 6A-C, 7A-C, 8A-D, 9A-C, 10A-C, 11A-C, 12A-D, and 13A-13C are cross-sectional views of intermediate stages in the manufacturing of FinFETs in accordance with some embodiments. -
FIGS. 14A and 14B are a process flow of a process in accordance with some embodiments. -
FIGS. 15A-C are doping profiles of structures in accordance with some embodiments. - The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
- Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
- Fin Field-Effect Transistors (FinFETs) and methods of forming the same are provided in accordance with various embodiments. Intermediate stages of forming FinFETs are illustrated. Some embodiments discussed herein are discussed in the context of FinFETs formed using a gate-last process. In other embodiments, a gate-first process may be used. Also, some embodiments contemplate aspects used in planar devices, such as planar FETs. Some variations of the embodiments are discussed. One of ordinary skill in the art will readily understand other modifications that may be made that are contemplated within the scope of other embodiments. Although method embodiments are discussed in a particular order, various other method embodiments may be performed in any logical order and may include fewer or more steps described herein.
-
FIG. 1 illustrates an example of aFinFET 30 in a three-dimensional view. The FinFET 30 comprises afin 36 on asubstrate 32. Thesubstrate 32 includesisolation regions 34, and the fin 36 protrudes above and from between neighboringisolation regions 34. A gate dielectric 38 is along sidewalls and over a top surface of thefin 36, and agate electrode 40 is over the gate dielectric 38. Source/drain regions fin 36 with respect to the gate dielectric 38 andgate electrode 40.FIG. 1 further illustrates reference cross-sections that are used in later figures. Cross-section A-A is along a longitudinal axis of thefin 36 and in a direction of, for example, a current flow between the source/drain regions gate electrode 40 of the FinFET 30. Cross-section C-C is parallel to cross-section B-B and across a source/drain region. Subsequent figures refer to these reference cross-sections for clarity. -
FIGS. 2A through 13C are cross-sectional views of intermediate stages in the manufacturing of FinFETs in accordance with an exemplary embodiment, andFIGS. 14A and 14B are a process flow of the process shown inFIGS. 2 through 13C . InFIGS. 2A through 13C , Figures ending with an “A” designation are illustrated along cross-section A-A illustrated inFIG. 1 ; figures ending with a “B” designation are illustrated along a similar cross-section B-B; and figures ending with a “C” designation are illustrated along a similar cross-section C-C, except for multiple FinFETs. -
FIGS. 2A , 2B, and 2C illustrate asubstrate 50,semiconductor strips 52,isolation regions 54,gate electrodes 60, andmask layer 62.Substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g., with a p-type or an n-type dopant) or undoped. Thesubstrate 50 may be a wafer, such as a silicon wafer. Generally, an SOI substrate comprises a layer of a semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates, such as a multi-layered or gradient substrate may also be used. In some embodiments, the semiconductor material of thesubstrate 50 may include silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. - The
substrate 50 has afirst region 50A and asecond region 50B. Thefirst region 50A can be for forming n-type devices, such as NMOS transistors, such as n-type FinFETs. Thesecond region 50B can be for forming p-type devices, such as PMOS transistors, such as p-type FinFETs. - In
steps semiconductor strips 52,isolation regions 54, andfins 56 are formed over thesubstrate 50. Theisolation regions 54 extend from a top surface of thesubstrate 50 into thesubstrate 50. Theisolation regions 54 may be shallow trench isolation (STI) regions, and are referred to asSTI regions 54 hereinafter. The formation of theSTI regions 54 may include etching thesubstrate 50 to form trenches (not shown), and filling the trenches with a dielectric material to form theSTI regions 54. TheSTI regions 54 may be formed of an oxide, such as silicon oxide, a nitride, the like, or a combination thereof, and may be formed by a high density plasma chemical vapor deposition (HDP-CVD), a flowable CVD (FCVD) (e.g., a CVD-based material deposition in a remote plasma system and post curing to make it convert to another material, such as an oxide), the like, or a combination thereof. Other insulation materials formed by any acceptable process may be used. In the illustrated embodiment, the insulation material is silicon oxide formed by a FCVD process. An anneal process may be performed once the insulation material is formed. The portion ofsubstrate 50 between neighboringSTI regions 54 is referred to as a semiconductor strips 52 throughout the description. The top surfaces of the semiconductor strips 52 and the top surfaces of theSTI regions 54 may be substantially level with each other, such as by performing a chemical mechanical polish (CMP) after depositing the material of theSTI regions 54, although the surfaces may be at slightly different levels. - The
STI regions 54 may then be recessed have portions of the semiconductor strips 52 extend above the top surfaces of theSTI regions 54. The protruding portions of the semiconductor strips 52 will be referred to asfins 56 hereinafter. In some embodiments, thefins 56 have a height H1 from top surfaces of thefins 56 to top surfaces of the STI regions, and thefins 56 and the semiconductor strips 52 have a combined height H2 from top surfaces of thefins 56 to top surfaces of the substrate 50 (e.g. at the bottom of the STI regions 54). In an embodiment, the height H1 is from about 20 nm to about 40 nm, and the height H2 is from about 40 nm to about 60 nm. - A person having ordinary skill in the art will readily understand that the process described with respect to
FIGS. 2A through 2C is just one example of howfins 56 may be formed. In other embodiments, a dielectric layer can be formed over a top surface of thesubstrate 50; trenches can be etched through the dielectric layer; homoepitaxial structures can be epitaxially grown in the trenches; and the dielectric layer can be recessed such that the homoepitaxial structures protrude from the dielectric layer to form fins. In still other embodiments, heteroepitaxial structures can be used for the fins. For example, thefins 56 inFIGS. 2A through 2C can be recessed, and a material different from thefins 56 may be epitaxially grown in their place. In an even further embodiment, a dielectric layer can be formed over a top surface of thesubstrate 50; trenches can be etched through the dielectric layer; heteroepitaxial structures can be epitaxially grown in the trenches using a material different from thesubstrate 50; and the dielectric layer can be recessed such that the heteroepitaxial structures protrude from the dielectric layer to form fins. In some embodiments where homoepitaxial or heteroepitaxial structures are epitaxially grown, the grown materials may be in situ doped during growth, which may obviate prior implanting of the fins although in situ and implantation doping may be used together. Still further, it may be advantageous to epitaxially grow a material in an NMOS region different from the material in a PMOS region. In various embodiments, thefins 56 may comprise silicon germanium (SixGe1-x, where x can be between approximately 0 and 100), silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, a II-VI compound semiconductor, or the like. For example, the available materials for forming III-V compound semiconductor include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like. - In
step 204, a gate structure is formed over thefins 56 and theSTI regions 54. The gate structure includes agate dielectric layer 58 and a gate electrode 114 with amask layer 62 over thegate electrode 60. The gate structure crosses over a plurality of thefins 56 and theSTI regions 54. The gate structure has a longitudinal axis that is substantially perpendicular to the longitudinal axes offins 56. In some embodiments, the gate structure is a dummy gate structure and will be replaced with a replacement gate structure using a “gate-last” or replacement-gate process. In other embodiments, the gate structure is an active gate and is formed in a “gate-first process” and will not be replaced. - A
gate dielectric layer 58 may be formed and patterned to form thegate dielectric 58′ (seeFIGS. 6A , 6B, and 6C). Thegate dielectric layer 58 may be formed over thefins 56 and theSTI regions 54 by thermal oxidation, in-situ steam generation (ISSG) process, chemical vapor deposition (CVD), a spin-on-glass process, sputtering, or any other methods known and used in the art for forming a gate dielectric layer. In some embodiments, thegate dielectric layer 58 may be made of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, extremely low-k dielectrics such as porous carbon doped silicon dioxide, a polymer such as polyimide, the like, or a combination thereof. In other embodiments, the gate dielectric layer includes dielectric materials having a high dielectric constant (k value), for example, greater than 3.9. The materials may include silicon nitrides, oxynitrides, metal oxides such as HfO2, HfZrOx, HfSiOx, HfTiOx, HfAlOx, the like, or combinations and multi-layers thereof. - After the
gate dielectric layer 58 is formed, agate electrode 60 is formed over the gate dielectric layer. Thegate electrode 60 may be formed by first forming a gate electrode layer (not shown) over thefins 56 and theSTI regions 54 and then patterning the gate electrode layer to form thegate electrode 60. In some embodiments, the gate electrode layer is a conductive material and may be selected from a group comprising polycrystalline-silicon (poly-Si), poly-crystalline silicon-germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic oxides, and metals. In an embodiment, the gate electrode layer includes a metal-containing material such as TiN, TaN, TaC, Co, Ru, Al, combinations thereof, or multi-layers thereof. The gate electrode layer may be deposited by CVD, physical vapor deposition (PVD), sputter deposition, or other techniques known and used in the art for depositing conductive materials. The top surface of the gate electrode layer may have a non-planar top surface and may be planarized by, for example, performing a CMP process after it is deposited. Themask layer 62—such as a photoresist, hard mask, combinations thereof, or multi-layers thereof—may be formed and patterned over the gate electrode layer. The patternedmask layer 62 may then be transferred to the material of the gate electrode layer using acceptable photolithography and etching techniques to form thegate electrode 60. - In
FIGS. 3A , 3B, and 3C and step 206, aseal spacer layer 64 is formed on the exposed surfaces of thegate electrodes 60, mask layers 62, on thegate dielectric layer 58 over thefins 56, and on top surfaces of theSTI regions 54. Theseal spacer layer 64 may be formed of an oxide, nitride, SiC, SiCN, the like, or a combination thereof by an oxidation process, CVD, the like, or a combination thereof. - In
FIGS. 4A , 4B, and 4C and step 208, amask 66 is formed over thesecond region 50B of thesubstrate 50 while thefirst region 50A is exposed. The mask protects thesecond region 50B during subsequent processing of thefirst region 50A. Themask 66 may be a photoresist, a hard mask, such as SiN, the like, or a combination thereof. Themask 66 is then patterned by an acceptable photolithography process or the like. - In
FIGS. 5A , 5B, and 5C and step 210, aselective implant process 68 is performed on thefirst region 50A of thesubstrate 50. Theselective implant process 68 changes the properties of theseal spacer layer 64 to allow a selective etch of theseal spacer layer 64 to remove portions of theseal spacer layer 64 while other portions of theseal spacer layer 64 remain. In an embodiment, theselective implant process 68 includes implanting oxygen, fluorine, the like, or a combination thereof. In an embodiment, the implanted region of theseal spacer layer 64 has a higher etch rate than the non-implanted region of theseal spacer layer 64. In some embodiments, theselective implant process 68 is performed at an angle substantially perpendicular to a major surface of thesubstrate 50 such that the horizontal surfaces (i.e., surfaces that are substantially parallel to the major surface of the substrate 50) of theseal spacer layer 64 are implanted during theselective implant process 68 while the vertical surfaces (i.e., surfaces that are substantially perpendicular to the major surface of the substrate 50) of theseal spacer layer 64 are not implanted. - In
FIGS. 6A , 6B, and 6C and step 212, a selective etch process is performed on theseal spacer layer 64 and thegate dielectric layer 58 in thefirst region 50A of thesubstrate 50 to formseal spacers 64′ and gate dielectric 58′ in thefirst region 50A. The seal spacers 64′ are formed on sidewalls of thegate electrodes 60 and mask layers 62 in thefirst region 50A. In an embodiment, the process gas of the selective etch includes CF4, O2, and HBr, the like, or a combination thereof. - In
FIGS. 7A , 7B, and 7C and step 214, aplasma doping process 70 is performed on thefirst region 50A of thesubstrate 50 to form firstdoped regions 72A in thefins 56. Theplasma doping process 70 implants n-type dopants (e.g. P, As, etc.) to form lightly doped drain (LDD) regions. By utilizing a plasma doping process, as opposed to a beam line implant process, the present disclosure can have a highly doped top portion of thefins 56 without the defects (e.g. twin boundary defects) caused by a beam line implant process. In an embodiment, theplasma doping process 70 is performed in thefirst region 50A of thesubstrate 50 utilizes from about 0.1% to about 0.9% of AsH3 or PH3/He, and from about 99.1% to about 99.9% H2. In an embodiment, theplasma doping process 70 is performed at a constant energy of between about 0.2 kiloelectron-volts (keV) and about 5 keV. After theplasma doping process 70, the firstdoped regions 72A have a dopant concentration of As/P in a range from about 1E20 atoms/cm3 to about 3E21 atoms/cm3. Theplasma doping process 70 can form an abrupt doping profile junction at a depth of from about 5 nm to about 10 nm from surfaces of thefin 56 with a doping profile abruptness of about 1 nm/decade. - In
FIGS. 8A , 8B, and 8C and step 216, themask 66 is removed such that theseal spacer layer 64 in thesecond region 50B is exposed. For example, if themask 66 is a photoresist, themask 66 may be removed by an appropriate ashing process, such as using an oxygen plasma. In other embodiments, themask 66 may be removed using an etch, a CMP process, the like, or a combination thereof. In an embodiment, themask 66 may be removed by a etch process utilizing CF4, O2, and HBr, the like, or a combination thereof.FIG. 8D illustrates a close-up view of thefins 56 and the firstpoped regions 72A fromFIG. 8C . The firstdoped regions 72A are located in top portions (tips) of thefins 56. - In
FIGS. 9A , 9B, and 9C and step 218, adopant source layer 74 is formed by a plasma deposition process. The plasma deposition process forms a conformal layer having a substantially uniform thickness on top surfaces and sidewalls of thefins 56 in thefirst region 50A and on theseal spacer layer 64 in thesecond region 50B of thesubstrate 50. In an embodiment, the plasma deposition process utilizes from about from about 15% to about 100% of AsH3 or PH3/He, and from about 85% to about 0% H2. The thickness of thedopant source layer 74 may be in a range from about 1 nm to about 5 nm, although other thicknesses are also within the scope of the present disclosure. - In some embodiments, the
dopant source layer 74 is formed of phosphosilicate glass (PSG) and/or other materials that comprise a dopant susceptible to subsequent diffusion into thefins 56. In these embodiments, thedopant source layer 74 may be formed by sputtering, CVD, PECVD, metal organic CVD (MOCVD), furnace CVD (FCVD), atomic layer deposition (ALD), plasma-enhanced ALD (PEALD), the like, or a combination thereof. - Although the
plasma doping process 70 was performed before the plasma deposition process, the order of these processes could be reversed in other embodiments which are within the scope of the present disclosure. - In
FIGS. 10A , 10B, and 10C and step 220, acapping layer 76 is formed over thedopant source layer 74 in the first andsecond regions substrate 50. Thecapping layer 76 helps to prevent thedopant source layer 74 from evaporating during the subsequent anneal process. In some embodiments, thecapping layer 76 is formed of an oxide, such as Al2O3, a nitride, the like, or a combination thereof. The capping layer may be formed by sputtering, CVD, PECVD, MOCVD, FCVD, ALD, PEALD, the like, or a combination thereof. - In
step 222, an annealing process is performed on thesubstrate 50.FIGS. 11A , 11B, and 11C illustrate thesubstrate 50 after the annealing process. The annealing process diffuses the dopants from thedopant source layer 74 into thefins 56 and also activates the dopants in the first doped regions and the dopants from thedopant source layer 74 forming the seconddoped regions 72B in thefins 56 in thefirst region 50A of thesubstrate 50. The firstdoped regions 72A and the seconddoped regions 72B form an LDD region for the FinFET in thefirst region 50A of the substrate. Theseal spacer layer 64 and thegate dielectric layer 58 in over thefins 56 in thesecond region 50B of thesubstrate 50 act as blocking layers so that the dopants from thedopant source layer 74 do diffuse into thefins 56 in thesecond region 50B. - In the
first region 50A of thesubstrate 50, the seconddoped regions 72B extend along the top portions (tips) and sidewalls of thefins 56 and provide a substantially uniform doping profile along the sidewalls of thefins 56. The seconddoped regions 72B may overlap the firstdoped regions 72A in the top portions (tips) of thefins 56. The seconddoped regions 72B have a dopant concentration of As/P in a range from about 5E19 atoms/cm3 to about 2E20 atoms/cm3. The seconddoped regions 72B can form an abrupt doping profile junction at a depth of from about 5 nm to about 10 nm from surfaces of thefin 56. AlthoughFIG. 11C illustrates a portion of thefins 56 between seconddoped regions 72B, in some embodiments, thefins 56 are formed to have a width such that the seconddoped regions 72B along the sidewalls meet to uniformly dope theentire fin 56. - In some embodiments, the annealing process may include one or more annealing processes may be performed at this stage to affect the solid phase diffusion of dopant from the
dopant source layer 74 into thefins 56. The one or more annealing processes may entail subjecting thesubstrate 50 to a temperature ranging between about 100° C. and about 1200° C. for a time period ranging between about 1 second and about 10 hours. However, other annealing parameters are also within the scope of present disclosure. - In
FIGS. 12A , 12B, 12C, 12D and step 224, thecapping layer 76 and thedopant source layer 74 are removed from the first andsecond regions substrate 50. In some embodiments, thecapping layer 76 and thedopant source layer 74 may be removed using an etch, a CMP process, the like, or a combination thereof.FIG. 12D illustrates a close-up view of thefins 56 and the firstdoped regions 72A and the seconddoped regions 72B fromFIG. 12C . The firstdoped regions 72A are located in top portions (tips) of thefins 56 and the seconddoped regions 72B are located in the sidewalls of thefins 56. - By utilizing this hybrid fin doping technique (plasma doping process and plasma deposition process), the
fins 56 have the desired fin LDD doping profile. The top portions (tips) of thefins 56 have the firstdoped regions 72A and are doped to a higher concentration than middle/bottom portions (sidewalls) of thefins 56 which include the seconddoped regions 72B. This doping profile is beneficial because the top portions of thefins 56 have higher carrier flow due to a lower threshold voltage because the top portions of thefins 56 are controlled by three gates (e.g. thegate electrode 60 is on three sides of top portions) and the middle/bottom portions of thefins 56 are controlled by two gates, and thus, have a slightly higher threshold voltage and slightly lower carrier flow. - Steps 226-242 perform similar processes on the
second region 50B (e.g. p-type FinFET) of thesubstrate 50 as steps 208-224 did to thefirst region 50A (e.g. n-type FinFET) of thesubstrate 50. Details of these steps that are similar to those described above are not repeated herein. - In
step 226, a mask is formed over thefirst region 50A of the substrate. This mask and step may be similar to the mask and step described above instep 208 and the description is not repeated herein. - In
step 228, a selective implant is performed to implant dopants into theseal spacer layer 64 in thesecond region 50B of thesubstrate 50. This selective implant process and step may be similar to the selective implant process and step described above instep 210 and the description is not repeated herein. - In
step 230, a selective etch is on theseal spacer layer 64 and thegate dielectric layer 58 in thesecond region 50B of thesubstrate 50. This selective etch process and step may be similar to the selective etch process and step described above instep 212 and the description is not repeated herein. - In
step 232, a plasma doping process is performed on thesecond region 50B of thesubstrate 50 to form thirddoped regions 82A in the fins 56 (seeFIGS. 13A , 13B, and 13C). The plasma doping process implants p-type dopants (e.g. B, Ga, etc.) to form LDD regions in thefins 56 of thesecond region 50B of thesubstrate 50. In an embodiment, the plasma doping process is performed in thesecond region 50B of thesubstrate 50 utilizes from about 0.1% to about 0.9% of B2H6 or BF3/H2, and from about 99.1% to about 99.9% He. In an embodiment, the plasma doping process is performed at a constant energy of between about 2 keV and about 5 keV. After the plasma doping process, the thirddoped regions 82A have a dopant concentration of B/Ga in a range from about 1E20 atoms/cm3 to about 3E21 atoms/cm3. The plasma doping process can form an abrupt doping profile junction at a depth of from about 5 nm to about 10 nm from surfaces of thefin 56 with a doping profile abruptness of about 1 nm/decade. - In
step 234, the mask is removed from thefirst region 50A of thesubstrate 50. This mask removal process and step may be similar to the mask removal and step described above instep 216 and the description is not repeated herein. - In
step 236, a dopant source layer is formed by a plasma deposition process. The plasma deposition process forms a conformal layer having a substantially uniform thickness on top surfaces and sidewalls of thefins 56 in thesecond region 50B and on the mask layer, if present, or other protective structure in thefirst region 50A of thesubstrate 50. In an embodiment, the plasma deposition process utilizes from about from about 15% to about 100% of B2H6 or BF3/H2, and from about 85% to about 0% He. The thickness of the dopant source layer may be in a range from about 1 nm to about 5 nm, although other thicknesses are also within the scope of the present disclosure. - In some embodiments, the dopant source layer is formed of BSG, and/or other materials that comprise a dopant susceptible to subsequent diffusion into the
fins 56. In these embodiments, the dopant source layer may be formed by sputtering, CVD, PECVD, MOCVD, FCVD, ALD, PEALD, the like, or a combination thereof. - Although the plasma doping process was performed before the plasma deposition process, the order of these processes could be reversed in other embodiments which are within the scope of the present disclosure.
- In
step 238, a capping layer is formed over the dopant source layer in thesecond region 50B of thesubstrate 50. The capping layer and the step may be similar to the capping layer and step described above instep 220 and the description is not repeated herein. - In
step 240, an anneal process is performed on thesubstrate 50. This annealing process diffuses the dopants from the dopant source layer into thefins 56 and also activates the dopants forming the fourthdoped regions 82B in thefins 56 in thesecond region 50B of thesubstrate 50. This annealing process and step may be similar to the annealing process and step described above instep 222 and the description is not repeated herein. - In
FIGS. 13A , 13B, 13C, and instep 242, the capping layer and dopant source layer are removed. This removal process and step may be similar to the removal process and step described above instep 224 and the description is not repeated herein. - In the
second region 50B of thesubstrate 50, the fourthdoped regions 82B extend along the top portions (tips) and sidewalls of thefins 56 and provide a substantially uniform doping profile along the sidewalls of thefins 56. The fourthdoped regions 82B may overlap the thirddoped regions 82A in the top portions (tips) of thefins 56. The fourthdoped regions 82B have a dopant concentration of B/Ga in a range from about 5E19 atoms/cm3 to about 2E20 atoms/cm3. The fourthdoped regions 82B can form an abrupt doping profile junction at a depth of from about 5 nm to about 10 nm from surfaces of thefin 56. AlthoughFIG. 13C illustrates a portion of thefins 56 between fourthdoped regions 82B, in some embodiments, thefins 56 are formed to have a width such that the fourthdoped regions 82B along the sidewalls meet to uniformly dope theentire fin 56. - Although not explicitly shown, a person having ordinary skill in the art will readily understand that further processing steps may be performed on the structure illustrated in
FIGS. 13A. 13B . and 13C. For example, instep 244, gate spacers may be formed on sidewalls of thegate electrodes 60. The gate spacers (not shown) may be formed on opposite sides of thegate electrodes 60. The gate spacers may be formed by blanket depositing a spacer layer (not shown) on the previously formed structure. In an embodiment, the gate spacers may include a spacer liner (not shown) comprising SiN, SiC, SiGe, oxynitride, oxide, combinations thereof, or the like. The spacer layer may comprise SiN, oxynitride, SiC, SiON, oxide, combinations thereof, or the like and may be formed by methods utilized to form such a layer, such as CVD, plasma enhanced CVD, sputter, and other methods known in the art. The gate spacers are then patterned, for example, by anisotropically etching to remove the spacer layer from the horizontal surfaces of the structure. - In
step 246, source/drain regions may be formed in thefins 56. The source/drain regions (not shown inFIGS. 13A , 13B, and 13C, see source/drain regions FIG. 1 ) may be formed in thefins 56. The source/drain regions may be doped by with the appropriate dopants to complement the dopants in thefins 56. In another embodiment, the source/drain regions may be formed by forming recesses in thefins 56 and epitaxially growing material in the recesses. The source/drain regions may be doped either through an implantation method as discussed above, or else by in-situ doping as the material is grown. - The source/drain regions may comprise and a heavily doped region in addition to the LDD regions discussed above. In this embodiment, after the LDD regions and the gate spacers are formed, the source/drain regions may then be heavily doped. This forms LDD regions and heavily doped regions. The LDD regions are primarily underneath the gate spacers while the heavily doped regions are outside of the gate spacers along the
fins 56. In some embodiments, thefins 56 include an anti-punch through region (not shown). This anti-punch through region prevents the short channel effect of electrons or holes punching through the channel from the source to the drain. The anti-punch through region may be doped the same as thefin 56 but with a higher dopant concentration. - Further, in
step 248, an etch stop layer (ESL) and an inter-layer dielectric (ILD) may be formed over and adjoining thegate electrodes 60 andfins 56. The ESL and the ILD may be formed over the gate spacers, thegate electrodes 60, the source/drain regions, thefins 56, and theSTI regions 54. The ESL may be conformally deposited over components on thesubstrate 50. In an embodiment, the ESL is formed of SiN, SiCN, SiON, the like, or a combination thereof and is formed by ALD, molecular layer deposition (MLD), a furnace process, CVD, PECVD, the like, or a combination thereof. - After the ESL is formed, the ILD may be formed over the ESL. The ILD may be conformally deposited over the ESL. In an embodiment, the ILD may comprise SiO2, SiON, the like, or a combination thereof. The ILD may be formed by CVD, ALD, PECVD, subatmospheric CVD (SACVD), flowable CVD, a high density plasma (HDP), a spin-on-dielectric process, the like, or a combination thereof.
- The ILD may be planarized by using a CMP process to remove portions of the ILD. In other embodiments, other planarization techniques may be used, such as etching.
- In
optional step 250, the dummy gate and gate dielectric may be removed. In gate-last or replacement-gate processes, thegate electrode 60 and thegate dielectric 58′ are removed. Inoptional step 252, an active gate and gate dielectric is formed in the place of the removed gate electrode and gate dielectric. - In
step 254, contacts and inter-metal dielectrics (IMD) and their corresponding metallizations may be formed through the ESL and ILD to thefins 56 and thegate electrodes 60. The contacts may be formed to thegate electrodes 60 and the source/drain regions. -
FIGS. 15A , 15B, and 15C are doping profiles of structures in accordance with some embodiments.FIG. 15A illustrates the doping profile of thefins 56 after the plasma doping process insteps FIG. 15A , asemiconductor structure 300 is shown with anupper region 302 and alower region 304. Theupper region 302 has a high dopant concentration and thelower region 304 has no doping concentration or almost zero doping concentration. For example, theupper region 302 has a dopant concentration of B, Ga, As, P, the like, or a combination thereof in a range from about 1E20 atoms/cm3 to about 3E21 atoms/cm3 and the lower region is non-doped. As illustrated, the plasma doping process forms an abrupt junction (at the interface between theupper region 302 and the lower region 304) in the doping profile. -
FIG. 15B illustrates the doping profile of thefins 56 after the plasma deposition process and annealing process 218-222 and 236-240 but without the plasma doping process. InFIG. 15B , thesemiconductor structure 300 is shown withsingle region 306. Theregion 306 has a uniform doping profile, but typically a lower dopant concentration than a region doped by a plasma doping process. For example, theregion 306 has a dopant concentration of B, Ga, As, P, the like, or a combination thereof in a range from about 5E19 atoms/cm3 to about 2E20 atoms/cm3. -
FIG. 15C illustrates the doping profile of thefins 56 after the plasma doping process insteps FIG. 15C , thesemiconductor structure 300 is shown with anupper region 308 and alower region 310. Theupper region 308 has a high dopant concentration and thelower region 304 has a lower dopant concentration. For example, theupper region 302 has a dopant concentration of B, Ga, As, P, the like, or a combination thereof in a range from about 1E20 atoms/cm3 to about 3E21 atoms/cm3 and the lower region has a dopant concentration of B, Ga, As, P, the like, or a combination thereof in a range from about 5E19 atoms/cm3 to about 2E20 atoms/cm3. In some embodiments, the dopant concentration of theupper region 308 is determined by the plasma doping process and the dopant concentration of the lower region is determined by the plasma deposition/annealing processes. This hybrid doping technique provides a high dopant concentration at the top portions of thefins 56 while providing a uniform dopant concentration in the middle/bottom portions of thefins 56. - By utilizing this hybrid fin doping technique (plasma doping process and plasma deposition process), the
fins 56 have the desired fin LDD doping profile. In thefirst region 50A of thesubstrate 50, the top portions (tips) of thefins 56 have the firstdoped regions 72A and are doped to a higher concentration than middle/bottom portions (sidewalls) of thefins 56 which include the seconddoped regions 72B. In thesecond region 50B of thesubstrate 50, the top portions (tips) of thefins 56 have the thirddoped regions 82A and are doped to a higher concentration than middle/bottom portions (sidewalls) of thefins 56 which include the fourthdoped regions 82B. This doping profile is beneficial because the top portions of thefins 56 have higher carrier flow due to a lower threshold voltage because the top portions of thefins 56 are controlled by three gates (e.g. thegate electrode 60 is on three sides of top portions) and the middle/bottom portions of thefins 56 are controlled by two gates, and thus, have a slightly higher threshold voltage and slightly lower carrier flow. In addition, the hybrid doping technique does not use beam line implant process, and thus, prevents the defects (e.g. twin boundary defects) caused by beam line implant processes. Furthermore, because the middle/bottom portions of the fins are not doped with an implant process, there is no implant shadowing effects that result in low doping or no doping towards the bottom of the fins. - An embodiment is a method including forming a fin on a substrate, forming a first doped region in a top portion of the fin, the first doped region having a first dopant concentration, and forming a second doped region in a middle and bottom portion of the fin, the second doped region having a second dopant concentration, the second dopant concentration being less than the first dopant concentration.
- Another embodiment is a method including forming a first set of fins and a second set of fins on a substrate, the first set of fins being in a first region of the substrate, and the second set of fins being in a second region of the substrate, forming a first gate over the first set of fins and a second gate over the second set of fins, forming a first mask over the second region of the substrate, and performing a first plasma doping process on the first set of fins to form first doped regions in top portions of the first set of fins. The method further includes removing the first mask over the second region of the substrate, forming a first dopant source layer on top surfaces and sidewalls of the first set of fins, forming a first capping layer over the first dopant source layer, and annealing the first set of fins to form second doped regions along the sidewalls of the first set of fins.
- A further embodiment is a structure including a fin on a substrate, the fin comprising an upper portion and a lower portion, the upper portion having a first dopant concentration of a first dopant, the lower portion having a second dopant concentration of the first dopant, the first dopant concentration being greater than the second dopant concentration, isolation regions in the substrate and on opposing sides of the fin, the upper and lower portion of the fin protruding from between the isolation regions, and a gate structure along sidewalls and over a top surface of the fin, the gate structure defining a channel region in the fin, the upper portion and the lower portion of the fin being adjacent the channel region in the fin.
- The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
Claims (21)
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/506,348 US9558946B2 (en) | 2014-10-03 | 2014-10-03 | FinFETs and methods of forming FinFETs |
KR1020140193822A KR101748920B1 (en) | 2014-10-03 | 2014-12-30 | Finfets and methods of forming finfets |
CN201510190775.4A CN106158962B (en) | 2014-10-03 | 2015-04-21 | FinFET and the method for forming FinFET |
TW104132483A TWI575573B (en) | 2014-10-03 | 2015-10-02 | Finfets and methods of forming finfets |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/506,348 US9558946B2 (en) | 2014-10-03 | 2014-10-03 | FinFETs and methods of forming FinFETs |
Publications (2)
Publication Number | Publication Date |
---|---|
US20160099150A1 true US20160099150A1 (en) | 2016-04-07 |
US9558946B2 US9558946B2 (en) | 2017-01-31 |
Family
ID=55633291
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US14/506,348 Active US9558946B2 (en) | 2014-10-03 | 2014-10-03 | FinFETs and methods of forming FinFETs |
Country Status (4)
Country | Link |
---|---|
US (1) | US9558946B2 (en) |
KR (1) | KR101748920B1 (en) |
CN (1) | CN106158962B (en) |
TW (1) | TWI575573B (en) |
Cited By (349)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20150357434A1 (en) * | 2014-01-30 | 2015-12-10 | International Business Machines Corporation | Replacement metal gate including dielectric gate material |
US20160260741A1 (en) * | 2014-06-18 | 2016-09-08 | Stmicroelectronics, Inc. | Semiconductor devices having fins, and methods of forming semiconductor devices having fins |
US20160343707A1 (en) * | 2015-05-21 | 2016-11-24 | Samsung Electronics Co., Ltd. | Semiconductor devices having fins |
US9595583B2 (en) * | 2014-11-03 | 2017-03-14 | Globalfoundries Inc. | Methods for forming FinFETS having a capping layer for reducing punch through leakage |
US9607837B1 (en) * | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US20170133488A1 (en) * | 2015-11-11 | 2017-05-11 | Zing Semiconductor Corporation | High-voltage junctionless device with drift region and the method for making the same |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US20180005899A1 (en) * | 2016-06-30 | 2018-01-04 | International Business Machines Corporation | Fabrication of a vertical fin field effect transistor with reduced dimensional variations |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9891521B2 (en) | 2014-11-19 | 2018-02-13 | Asm Ip Holding B.V. | Method for depositing thin film |
US9899405B2 (en) | 2014-12-22 | 2018-02-20 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10023960B2 (en) | 2012-09-12 | 2018-07-17 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US20180374760A1 (en) * | 2017-06-26 | 2018-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US20190103491A1 (en) * | 2017-09-29 | 2019-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Device and Method |
TWI656564B (en) * | 2016-07-29 | 2019-04-11 | 台灣積體電路製造股份有限公司 | Semiconductor structure manufacturing method |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10366864B2 (en) | 2013-03-08 | 2019-07-30 | Asm Ip Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US10510838B2 (en) | 2017-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | High surface dopant concentration formation processes and structures formed thereby |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US20200105867A1 (en) * | 2018-09-27 | 2020-04-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-Aligned Contact Air Gap Formation |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10644157B2 (en) | 2018-07-31 | 2020-05-05 | Globalfoundries Inc. | Fin-type field effect transistors with uniform channel lengths and below-channel isolation on bulk semiconductor substrates and methods |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10923595B2 (en) | 2017-11-30 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a SiGe epitaxial layer containing Ga |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US20210091209A1 (en) * | 2016-11-29 | 2021-03-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET Devices and Methods of Forming |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11037837B2 (en) * | 2018-08-15 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain and methods of forming same |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11107686B2 (en) * | 2017-12-21 | 2021-08-31 | Samsung Electronics Co., Ltd. | Methods for manufacturing semiconductor devices |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11211492B2 (en) | 2017-11-30 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11380548B2 (en) * | 2019-12-30 | 2022-07-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Method of manufacturing semiconductor structure through multi-implantation to fin structures |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US20220262951A1 (en) * | 2015-04-22 | 2022-08-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Structure and Methods of Forming Same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
DE102017117795B4 (en) | 2016-11-29 | 2022-10-06 | Taiwan Semiconductor Manufacturing Co. Ltd. | FETS AND METHOD OF PRODUCTION |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US12043899B2 (en) | 2017-01-10 | 2024-07-23 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US12051602B2 (en) | 2020-05-04 | 2024-07-30 | Asm Ip Holding B.V. | Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US12107005B2 (en) | 2020-10-06 | 2024-10-01 | Asm Ip Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
US12106944B2 (en) | 2020-06-02 | 2024-10-01 | Asm Ip Holding B.V. | Rotating substrate support |
US12112940B2 (en) | 2019-07-19 | 2024-10-08 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US12125700B2 (en) | 2020-01-16 | 2024-10-22 | Asm Ip Holding B.V. | Method of forming high aspect ratio features |
US12131885B2 (en) | 2020-12-22 | 2024-10-29 | Asm Ip Holding B.V. | Plasma treatment device having matching box |
US12129545B2 (en) | 2020-12-22 | 2024-10-29 | Asm Ip Holding B.V. | Precursor capsule, a vessel and a method |
US12148609B2 (en) | 2021-09-13 | 2024-11-19 | Asm Ip Holding B.V. | Silicon oxide deposition method |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102603279B1 (en) * | 2016-07-01 | 2023-11-17 | 인텔 코포레이션 | Reduced back contact resistance for semiconductor devices with metallization on both sides |
CN108122758A (en) * | 2016-11-30 | 2018-06-05 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
US10566242B2 (en) * | 2016-12-13 | 2020-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Minimization of plasma doping induced fin height loss |
CN109216277B (en) | 2017-06-29 | 2021-03-16 | 中芯国际集成电路制造(上海)有限公司 | Method for manufacturing semiconductor device |
CN110047927B (en) * | 2018-01-17 | 2022-06-28 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device, manufacturing method thereof and electronic device |
US10665697B2 (en) * | 2018-06-15 | 2020-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11289541B2 (en) | 2019-11-14 | 2022-03-29 | Winbond Electronics Corp. | Resistive random access memory devices and methods for forming the same |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20110171795A1 (en) * | 2010-01-12 | 2011-07-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET LDD and Source Drain Implant Technique |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7074656B2 (en) * | 2003-04-29 | 2006-07-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping of semiconductor fin devices |
KR100476940B1 (en) | 2003-06-20 | 2005-03-16 | 삼성전자주식회사 | Dram memory cell having a gate channel extending vertically from a substrate and method of fabricating the same |
KR101123788B1 (en) * | 2004-12-13 | 2012-03-12 | 파나소닉 주식회사 | Plasma doping method |
US8980719B2 (en) * | 2010-04-28 | 2015-03-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for doping fin field-effect transistors |
JP2012049286A (en) | 2010-08-26 | 2012-03-08 | Sen Corp | Method for manufacturing semiconductor device |
KR101850703B1 (en) | 2011-05-17 | 2018-04-23 | 삼성전자 주식회사 | Semiconductor device and method for fabricating the device |
TWI606136B (en) | 2011-11-04 | 2017-11-21 | Asm國際股份有限公司 | Method for depositing doped silicon oxide and atomic layer deposition process for depositing doped silicon oxide on substrate |
KR101912582B1 (en) | 2012-04-25 | 2018-12-28 | 삼성전자 주식회사 | Semiconductor device and fabricated method thereof |
US9029226B2 (en) | 2013-03-13 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices |
-
2014
- 2014-10-03 US US14/506,348 patent/US9558946B2/en active Active
- 2014-12-30 KR KR1020140193822A patent/KR101748920B1/en active IP Right Grant
-
2015
- 2015-04-21 CN CN201510190775.4A patent/CN106158962B/en active Active
- 2015-10-02 TW TW104132483A patent/TWI575573B/en active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20110171795A1 (en) * | 2010-01-12 | 2011-07-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET LDD and Source Drain Implant Technique |
Cited By (464)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10023960B2 (en) | 2012-09-12 | 2018-07-17 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US10366864B2 (en) | 2013-03-08 | 2019-07-30 | Asm Ip Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US20150357434A1 (en) * | 2014-01-30 | 2015-12-10 | International Business Machines Corporation | Replacement metal gate including dielectric gate material |
US9653573B2 (en) * | 2014-01-30 | 2017-05-16 | International Business Machines Corporation | Replacement metal gate including dielectric gate material |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US20160260741A1 (en) * | 2014-06-18 | 2016-09-08 | Stmicroelectronics, Inc. | Semiconductor devices having fins, and methods of forming semiconductor devices having fins |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US11795545B2 (en) | 2014-10-07 | 2023-10-24 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9595583B2 (en) * | 2014-11-03 | 2017-03-14 | Globalfoundries Inc. | Methods for forming FinFETS having a capping layer for reducing punch through leakage |
US9891521B2 (en) | 2014-11-19 | 2018-02-13 | Asm Ip Holding B.V. | Method for depositing thin film |
US9899405B2 (en) | 2014-12-22 | 2018-02-20 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US20220262951A1 (en) * | 2015-04-22 | 2022-08-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Structure and Methods of Forming Same |
US12132107B2 (en) * | 2015-04-22 | 2024-10-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and methods of forming same |
US20160343707A1 (en) * | 2015-05-21 | 2016-11-24 | Samsung Electronics Co., Ltd. | Semiconductor devices having fins |
US9899379B2 (en) * | 2015-05-21 | 2018-02-20 | Samsung Electronics Co., Ltd. | Semiconductor devices having fins |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US20170133488A1 (en) * | 2015-11-11 | 2017-05-11 | Zing Semiconductor Corporation | High-voltage junctionless device with drift region and the method for making the same |
US9818844B2 (en) * | 2015-11-11 | 2017-11-14 | Zing Semiconductor Corporation | High-voltage junctionless device with drift region and the method for making the same |
US9607837B1 (en) * | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11956977B2 (en) | 2015-12-29 | 2024-04-09 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10170371B2 (en) * | 2016-06-30 | 2019-01-01 | International Business Machines Corporation | Fabrication of a vertical fin field effect transistor with reduced dimensional variations |
US20180005899A1 (en) * | 2016-06-30 | 2018-01-04 | International Business Machines Corporation | Fabrication of a vertical fin field effect transistor with reduced dimensional variations |
US10262901B2 (en) | 2016-06-30 | 2019-04-16 | International Business Machines Corporation | Fabrication of a vertical fin field effect transistor with reduced dimensional variations |
US11749562B2 (en) | 2016-07-08 | 2023-09-05 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11694892B2 (en) | 2016-07-28 | 2023-07-04 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11171003B2 (en) | 2016-07-29 | 2021-11-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping through diffusion and epitaxy profile shaping |
TWI656564B (en) * | 2016-07-29 | 2019-04-11 | 台灣積體電路製造股份有限公司 | Semiconductor structure manufacturing method |
US10910223B2 (en) | 2016-07-29 | 2021-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping through diffusion and epitaxy profile shaping |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10644025B2 (en) | 2016-11-07 | 2020-05-05 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US11396702B2 (en) | 2016-11-15 | 2022-07-26 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
DE102017117795B4 (en) | 2016-11-29 | 2022-10-06 | Taiwan Semiconductor Manufacturing Co. Ltd. | FETS AND METHOD OF PRODUCTION |
US11594618B2 (en) * | 2016-11-29 | 2023-02-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices and methods of forming |
US20210091209A1 (en) * | 2016-11-29 | 2021-03-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET Devices and Methods of Forming |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11970766B2 (en) | 2016-12-15 | 2024-04-30 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11851755B2 (en) | 2016-12-15 | 2023-12-26 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US12000042B2 (en) | 2016-12-15 | 2024-06-04 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US12043899B2 (en) | 2017-01-10 | 2024-07-23 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US12106965B2 (en) | 2017-02-15 | 2024-10-01 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10629494B2 (en) * | 2017-06-26 | 2020-04-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US20180374760A1 (en) * | 2017-06-26 | 2018-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11976361B2 (en) | 2017-06-28 | 2024-05-07 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11695054B2 (en) | 2017-07-18 | 2023-07-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11581220B2 (en) | 2017-08-30 | 2023-02-14 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US20220285552A1 (en) * | 2017-09-29 | 2022-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Device and Method |
US11342454B2 (en) | 2017-09-29 | 2022-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US12125908B2 (en) * | 2017-09-29 | 2024-10-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US20190103491A1 (en) * | 2017-09-29 | 2019-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Device and Method |
US10700197B2 (en) * | 2017-09-29 | 2020-06-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US12033861B2 (en) | 2017-10-05 | 2024-07-09 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US12040184B2 (en) | 2017-10-30 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11682572B2 (en) | 2017-11-27 | 2023-06-20 | Asm Ip Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US10510838B2 (en) | 2017-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | High surface dopant concentration formation processes and structures formed thereby |
US11257906B2 (en) | 2017-11-29 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | High surface dopant concentration formation processes and structures formed thereby |
US10672871B2 (en) | 2017-11-29 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | High surface dopant concentration formation processes and structures formed thereby |
US11211492B2 (en) | 2017-11-30 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga |
US10923595B2 (en) | 2017-11-30 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having a SiGe epitaxial layer containing Ga |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11107686B2 (en) * | 2017-12-21 | 2021-08-31 | Samsung Electronics Co., Ltd. | Methods for manufacturing semiconductor devices |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11972944B2 (en) | 2018-01-19 | 2024-04-30 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US12119228B2 (en) | 2018-01-19 | 2024-10-15 | Asm Ip Holding B.V. | Deposition method |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11735414B2 (en) | 2018-02-06 | 2023-08-22 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11939673B2 (en) | 2018-02-23 | 2024-03-26 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US12020938B2 (en) | 2018-03-27 | 2024-06-25 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11908733B2 (en) | 2018-05-28 | 2024-02-20 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11837483B2 (en) | 2018-06-04 | 2023-12-05 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11814715B2 (en) | 2018-06-27 | 2023-11-14 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11952658B2 (en) | 2018-06-27 | 2024-04-09 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755923B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US10644157B2 (en) | 2018-07-31 | 2020-05-05 | Globalfoundries Inc. | Fin-type field effect transistors with uniform channel lengths and below-channel isolation on bulk semiconductor substrates and methods |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US11037837B2 (en) * | 2018-08-15 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain and methods of forming same |
US11682588B2 (en) | 2018-08-15 | 2023-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial source/drain and methods of forming same |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11804388B2 (en) | 2018-09-11 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10923565B2 (en) * | 2018-09-27 | 2021-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned contact air gap formation |
US20200105867A1 (en) * | 2018-09-27 | 2020-04-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-Aligned Contact Air Gap Formation |
TWI791892B (en) * | 2018-09-27 | 2023-02-11 | 台灣積體電路製造股份有限公司 | Integrated circuit and method fabricating the same |
US11901408B2 (en) | 2018-09-27 | 2024-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned contact air gap formation |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11735445B2 (en) | 2018-10-31 | 2023-08-22 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11866823B2 (en) | 2018-11-02 | 2024-01-09 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11244825B2 (en) | 2018-11-16 | 2022-02-08 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11798999B2 (en) | 2018-11-16 | 2023-10-24 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11769670B2 (en) | 2018-12-13 | 2023-09-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11959171B2 (en) | 2019-01-17 | 2024-04-16 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11798834B2 (en) | 2019-02-20 | 2023-10-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11615980B2 (en) | 2019-02-20 | 2023-03-28 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11901175B2 (en) | 2019-03-08 | 2024-02-13 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11453946B2 (en) | 2019-06-06 | 2022-09-27 | Asm Ip Holding B.V. | Gas-phase reactor system including a gas detector |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11908684B2 (en) | 2019-06-11 | 2024-02-20 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11746414B2 (en) | 2019-07-03 | 2023-09-05 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US12107000B2 (en) | 2019-07-10 | 2024-10-01 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11996304B2 (en) | 2019-07-16 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing device |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US12129548B2 (en) | 2019-07-18 | 2024-10-29 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US12112940B2 (en) | 2019-07-19 | 2024-10-08 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11876008B2 (en) | 2019-07-31 | 2024-01-16 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US12040229B2 (en) | 2019-08-22 | 2024-07-16 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US12033849B2 (en) | 2019-08-23 | 2024-07-09 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane |
US11827978B2 (en) | 2019-08-23 | 2023-11-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11898242B2 (en) | 2019-08-23 | 2024-02-13 | Asm Ip Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US12119220B2 (en) | 2019-12-19 | 2024-10-15 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11380548B2 (en) * | 2019-12-30 | 2022-07-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Method of manufacturing semiconductor structure through multi-implantation to fin structures |
US12033885B2 (en) | 2020-01-06 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US12125700B2 (en) | 2020-01-16 | 2024-10-22 | Asm Ip Holding B.V. | Method of forming high aspect ratio features |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11837494B2 (en) | 2020-03-11 | 2023-12-05 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US12087586B2 (en) | 2020-04-15 | 2024-09-10 | Asm Ip Holding B.V. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US12130084B2 (en) | 2020-04-24 | 2024-10-29 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11798830B2 (en) | 2020-05-01 | 2023-10-24 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US12051602B2 (en) | 2020-05-04 | 2024-07-30 | Asm Ip Holding B.V. | Substrate processing system for processing substrates with an electronics module located behind a door in a front wall of the substrate processing system |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US12057314B2 (en) | 2020-05-15 | 2024-08-06 | Asm Ip Holding B.V. | Methods for silicon germanium uniformity control using multiple precursors |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US12106944B2 (en) | 2020-06-02 | 2024-10-01 | Asm Ip Holding B.V. | Rotating substrate support |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US12055863B2 (en) | 2020-07-17 | 2024-08-06 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US12074022B2 (en) | 2020-08-27 | 2024-08-27 | Asm Ip Holding B.V. | Method and system for forming patterned structures using multiple patterning process |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12107005B2 (en) | 2020-10-06 | 2024-10-01 | Asm Ip Holding B.V. | Deposition method and an apparatus for depositing a silicon-containing material |
US12051567B2 (en) | 2020-10-07 | 2024-07-30 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including gas supply unit |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US12027365B2 (en) | 2020-11-24 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US12131885B2 (en) | 2020-12-22 | 2024-10-29 | Asm Ip Holding B.V. | Plasma treatment device having matching box |
US12129545B2 (en) | 2020-12-22 | 2024-10-29 | Asm Ip Holding B.V. | Precursor capsule, a vessel and a method |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US12148609B2 (en) | 2021-09-13 | 2024-11-19 | Asm Ip Holding B.V. | Silicon oxide deposition method |
Also Published As
Publication number | Publication date |
---|---|
US9558946B2 (en) | 2017-01-31 |
CN106158962B (en) | 2019-06-21 |
CN106158962A (en) | 2016-11-23 |
TW201624544A (en) | 2016-07-01 |
KR20160040411A (en) | 2016-04-14 |
KR101748920B1 (en) | 2017-06-19 |
TWI575573B (en) | 2017-03-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9558946B2 (en) | FinFETs and methods of forming FinFETs | |
US9449971B2 (en) | Methods of forming FinFETs | |
US11211477B2 (en) | FinFETs having epitaxial capping layer on fin and methods for forming the same | |
US11817499B2 (en) | P-type strained channel in a fin field effect transistor (FinFET) device | |
US9548362B2 (en) | High mobility devices with anti-punch through layers and methods of forming same | |
KR101827148B1 (en) | A method of semiconductor device fabrication | |
US10103264B2 (en) | Channel strain control for nonplanar compound semiconductor devices | |
US9577101B2 (en) | Source/drain regions for fin field effect transistors and methods of forming same | |
US10276568B2 (en) | Semiconductor device and manufacturing method thereof | |
US8999794B2 (en) | Self-aligned source and drain structures and method of manufacturing same | |
US9583598B2 (en) | FETs and methods of forming FETs | |
US9312387B2 (en) | Methods of forming FinFET devices with alternative channel materials | |
CN104576383B (en) | FinFET structure and manufacturing method thereof | |
US12125876B2 (en) | Semiconductor device and method | |
US9362405B1 (en) | Channel cladding last process flow for forming a channel region on a FinFET device | |
US20240153953A1 (en) | Method of manufacturing semiconductor devices and a semiconductor device | |
US10276685B2 (en) | Heterojunction tunnel field effect transistor fabrication using limited lithography steps | |
US9640660B2 (en) | Asymmetrical FinFET structure and method of manufacturing same | |
US9543297B1 (en) | Fin-FET replacement metal gate structure and method of manufacturing the same | |
US10529861B2 (en) | FinFET structures and methods of forming the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TSAI, CHUN HSIUNG;WANG, TSAN-CHUN;SIGNING DATES FROM 20140925 TO 20140926;REEL/FRAME:033884/0979 |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 4 |
|
FEPP | Fee payment procedure |
Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |