JP2008205454A - Selective epitaxy process control - Google Patents
Selective epitaxy process control Download PDFInfo
- Publication number
- JP2008205454A JP2008205454A JP2008019367A JP2008019367A JP2008205454A JP 2008205454 A JP2008205454 A JP 2008205454A JP 2008019367 A JP2008019367 A JP 2008019367A JP 2008019367 A JP2008019367 A JP 2008019367A JP 2008205454 A JP2008205454 A JP 2008205454A
- Authority
- JP
- Japan
- Prior art keywords
- zone
- gas
- process chamber
- deposition
- silicon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000407 epitaxy Methods 0.000 title abstract description 10
- 238000004886 process control Methods 0.000 title 1
- 238000000034 method Methods 0.000 claims abstract description 277
- 230000008569 process Effects 0.000 claims abstract description 228
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 118
- 239000010703 silicon Substances 0.000 claims abstract description 118
- 230000008021 deposition Effects 0.000 claims abstract description 114
- 238000005530 etching Methods 0.000 claims abstract description 112
- 239000000758 substrate Substances 0.000 claims abstract description 111
- 238000010926 purge Methods 0.000 claims abstract description 36
- 230000003247 decreasing effect Effects 0.000 claims abstract 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 117
- 239000000463 material Substances 0.000 claims description 51
- 239000013078 crystal Substances 0.000 claims description 25
- 230000007423 decrease Effects 0.000 claims description 6
- 238000000151 deposition Methods 0.000 abstract description 112
- 239000000126 substance Substances 0.000 abstract description 5
- 239000010410 layer Substances 0.000 description 161
- 239000007789 gas Substances 0.000 description 126
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 38
- 239000012159 carrier gas Substances 0.000 description 34
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 32
- 229910052732 germanium Inorganic materials 0.000 description 31
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 31
- 239000002210 silicon-based material Substances 0.000 description 31
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 29
- 229910052799 carbon Inorganic materials 0.000 description 29
- 239000002019 doping agent Substances 0.000 description 24
- 238000005137 deposition process Methods 0.000 description 18
- 229910052757 nitrogen Inorganic materials 0.000 description 18
- 238000006243 chemical reaction Methods 0.000 description 16
- 125000004429 atom Chemical group 0.000 description 15
- 239000000460 chlorine Substances 0.000 description 15
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 14
- 238000009826 distribution Methods 0.000 description 13
- 238000004519 manufacturing process Methods 0.000 description 13
- 150000001875 compounds Chemical class 0.000 description 12
- 125000006850 spacer group Chemical group 0.000 description 12
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 10
- 229910052796 boron Inorganic materials 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 229910052801 chlorine Inorganic materials 0.000 description 10
- 239000001257 hydrogen Substances 0.000 description 10
- 229910052739 hydrogen Inorganic materials 0.000 description 10
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 9
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 9
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 8
- 229910052782 aluminium Inorganic materials 0.000 description 8
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 8
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 8
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 7
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 7
- 229910052786 argon Inorganic materials 0.000 description 7
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 229910052733 gallium Inorganic materials 0.000 description 7
- 229910052734 helium Inorganic materials 0.000 description 7
- 239000001307 helium Substances 0.000 description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 7
- 239000002243 precursor Substances 0.000 description 7
- 108091006146 Channels Proteins 0.000 description 6
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 6
- 229910003811 SiGeC Inorganic materials 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 229910052785 arsenic Inorganic materials 0.000 description 6
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 229910052698 phosphorus Inorganic materials 0.000 description 6
- 239000011574 phosphorus Substances 0.000 description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 150000004756 silanes Chemical class 0.000 description 6
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 5
- 238000000137 annealing Methods 0.000 description 5
- 239000002585 base Substances 0.000 description 5
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 5
- 229910000078 germane Inorganic materials 0.000 description 5
- 150000002431 hydrogen Chemical class 0.000 description 5
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 235000012431 wafers Nutrition 0.000 description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 4
- AXQKVSDUCKWEKE-UHFFFAOYSA-N [C].[Ge].[Si] Chemical compound [C].[Ge].[Si] AXQKVSDUCKWEKE-UHFFFAOYSA-N 0.000 description 4
- 229910000085 borane Inorganic materials 0.000 description 4
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 4
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 4
- 230000006911 nucleation Effects 0.000 description 4
- 238000010899 nucleation Methods 0.000 description 4
- 150000001282 organosilanes Chemical class 0.000 description 4
- 229910000077 silane Inorganic materials 0.000 description 4
- 229910021332 silicide Inorganic materials 0.000 description 4
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 4
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 3
- -1 Organosilane compounds Chemical class 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 230000004913 activation Effects 0.000 description 3
- 238000003877 atomic layer epitaxy Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 238000007796 conventional method Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- HSFWRNGVRCDJHI-UHFFFAOYSA-N Acetylene Chemical compound C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 2
- ATUOYWHBWRKTHZ-UHFFFAOYSA-N Propane Chemical compound CCC ATUOYWHBWRKTHZ-UHFFFAOYSA-N 0.000 description 2
- QQONPFPTGQHPMA-UHFFFAOYSA-N Propene Chemical compound CC=C QQONPFPTGQHPMA-UHFFFAOYSA-N 0.000 description 2
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 description 2
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- KDKYADYSIPSCCQ-UHFFFAOYSA-N but-1-yne Chemical compound CCC#C KDKYADYSIPSCCQ-UHFFFAOYSA-N 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 239000003575 carbonaceous material Substances 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- 238000004050 hot filament vapor deposition Methods 0.000 description 2
- 238000001182 laser chemical vapour deposition Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- 229910052756 noble gas Inorganic materials 0.000 description 2
- 150000002835 noble gases Chemical class 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 2
- XCZXGTMEAKBVPV-UHFFFAOYSA-N trimethylgallium Chemical compound C[Ga](C)C XCZXGTMEAKBVPV-UHFFFAOYSA-N 0.000 description 2
- YWWDBCBWQNCYNR-UHFFFAOYSA-N trimethylphosphine Chemical compound CP(C)C YWWDBCBWQNCYNR-UHFFFAOYSA-N 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- HNEJIUSZPOMSFT-UHFFFAOYSA-N C[GeH2][GeH3] Chemical compound C[GeH2][GeH3] HNEJIUSZPOMSFT-UHFFFAOYSA-N 0.000 description 1
- UFIKLRNUCHZRPW-UHFFFAOYSA-N C[GeH](C)[GeH3] Chemical compound C[GeH](C)[GeH3] UFIKLRNUCHZRPW-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 108090000699 N-Type Calcium Channels Proteins 0.000 description 1
- 102000004129 N-Type Calcium Channels Human genes 0.000 description 1
- 108010075750 P-Type Calcium Channels Proteins 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- OWQWEJKPOUNPPG-UHFFFAOYSA-M chloro(dimethyl)gallane Chemical compound C[Ga](C)Cl OWQWEJKPOUNPPG-UHFFFAOYSA-M 0.000 description 1
- XOYLJNJLGBYDTH-UHFFFAOYSA-M chlorogallium Chemical compound [Ga]Cl XOYLJNJLGBYDTH-UHFFFAOYSA-M 0.000 description 1
- 230000002301 combined effect Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000002178 crystalline material Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- FAFYLCKQPJOORN-UHFFFAOYSA-N diethylborane Chemical compound CCBCC FAFYLCKQPJOORN-UHFFFAOYSA-N 0.000 description 1
- VZZJVOCVAZHETD-UHFFFAOYSA-N diethylphosphane Chemical compound CCPCC VZZJVOCVAZHETD-UHFFFAOYSA-N 0.000 description 1
- VXGHASBVNMHGDI-UHFFFAOYSA-N digermane Chemical compound [Ge][Ge] VXGHASBVNMHGDI-UHFFFAOYSA-N 0.000 description 1
- UCMVNBCLTOOHMN-UHFFFAOYSA-N dimethyl(silyl)silane Chemical compound C[SiH](C)[SiH3] UCMVNBCLTOOHMN-UHFFFAOYSA-N 0.000 description 1
- JGHYBJVUQGTEEB-UHFFFAOYSA-M dimethylalumanylium;chloride Chemical compound C[Al](C)Cl JGHYBJVUQGTEEB-UHFFFAOYSA-M 0.000 description 1
- GMLFPSKPTROTFV-UHFFFAOYSA-N dimethylborane Chemical compound CBC GMLFPSKPTROTFV-UHFFFAOYSA-N 0.000 description 1
- RUIGDFHKELAHJL-UHFFFAOYSA-N dimethylgermane Chemical compound C[GeH2]C RUIGDFHKELAHJL-UHFFFAOYSA-N 0.000 description 1
- YOTZYFSGUCFUKA-UHFFFAOYSA-N dimethylphosphine Chemical compound CPC YOTZYFSGUCFUKA-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- AIGRXSNSLVJMEA-FQEVSTJZSA-N ethoxy-(4-nitrophenoxy)-phenyl-sulfanylidene-$l^{5}-phosphane Chemical compound O([P@@](=S)(OCC)C=1C=CC=CC=1)C1=CC=C([N+]([O-])=O)C=C1 AIGRXSNSLVJMEA-FQEVSTJZSA-N 0.000 description 1
- SHRMMCOTNQGWJS-UHFFFAOYSA-N ethylgermane Chemical compound CC[GeH3] SHRMMCOTNQGWJS-UHFFFAOYSA-N 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- UPWPDUACHOATKO-UHFFFAOYSA-K gallium trichloride Chemical compound Cl[Ga](Cl)Cl UPWPDUACHOATKO-UHFFFAOYSA-K 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- NEXSMEBSBIABKL-UHFFFAOYSA-N hexamethyldisilane Chemical compound C[Si](C)(C)[Si](C)(C)C NEXSMEBSBIABKL-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 239000003550 marker Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- FOTXTBSEOHNRCB-UHFFFAOYSA-N methylgermane Chemical compound [GeH3]C FOTXTBSEOHNRCB-UHFFFAOYSA-N 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000001294 propane Substances 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 1
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical compound CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 description 1
- RXJKFRMDXUJTEX-UHFFFAOYSA-N triethylphosphine Chemical compound CCP(CC)CC RXJKFRMDXUJTEX-UHFFFAOYSA-N 0.000 description 1
- JOHWNGGYGAVMGU-UHFFFAOYSA-N trifluorochlorine Chemical compound FCl(F)F JOHWNGGYGAVMGU-UHFFFAOYSA-N 0.000 description 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 1
- WXRGABKACDFXMG-UHFFFAOYSA-N trimethylborane Chemical compound CB(C)C WXRGABKACDFXMG-UHFFFAOYSA-N 0.000 description 1
- CKQULDKQRNJABT-UHFFFAOYSA-N trimethylgermanium Chemical compound C[Ge](C)C.C[Ge](C)C CKQULDKQRNJABT-UHFFFAOYSA-N 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7833—Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
- H01L29/7834—Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66636—Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7848—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B23/00—Single-crystal growth by condensing evaporated or sublimed materials
- C30B23/02—Epitaxial-layer growth
- C30B23/04—Pattern deposit, e.g. by using masks
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/04—Pattern deposit, e.g. by using masks
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B35/00—Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Bipolar Transistors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
[0001]本出願は、2007年1月31日出願の米国特許出願第11/669,550号の恩典を主張し、この開示内容は本明細書に全体で援用されている。 [0001] This application claims the benefit of US Patent Application No. 11 / 669,550, filed January 31, 2007, the disclosure of which is incorporated herein in its entirety.
[0002]本発明の実施形態は、一般的には、電子製造プロセスとデバイスの分野に関し、特に、電子デバイスを形成しつつシリコン含有膜を堆積させる方法に関する。 [0002] Embodiments of the present invention generally relate to the field of electronic manufacturing processes and devices, and more particularly to methods of depositing silicon-containing films while forming electronic devices.
[0003]より小さいトランジスタが製造されるにつれて、極浅ソース/ドレイン接合部が製造の課題になっている。一般に、100nm未満のCMOS(相補型金属酸化物半導体)デバイスは、30nm未満の接合部の深さを必要とする。選択エピタキシャル堆積は、接合部へシリコン含有物質(例えば、Si、SiGe、SiC)のエピ層を形成するためにしばしば用いられる。一般に、選択エピタキシャル堆積は、誘電体領域で成長しないシリコンモウトでエピタキシャル層(“エピ層”)の成長を可能にする。選択エピタキシーは、高ソース/ドレイン、ソース/ドレインエクステンション、コンタクトプラグ又はバイポーラデバイスのベース層堆積のような半導体デバイス内に特徴部を製造するために用いることができる。 [0003] As smaller transistors are manufactured, ultra-shallow source / drain junctions become a manufacturing challenge. In general, CMOS (complementary metal oxide semiconductor) devices less than 100 nm require junction depths less than 30 nm. Selective epitaxial deposition is often used to form an epilayer of a silicon-containing material (eg, Si, SiGe, SiC) at the junction. In general, selective epitaxial deposition allows the growth of an epitaxial layer ("epi layer") with a silicon moat that does not grow in the dielectric region. Selective epitaxy can be used to fabricate features in semiconductor devices such as high source / drain, source / drain extensions, contact plugs or base layer deposition of bipolar devices.
[0004]一般に、選択エピタキシープロセスは、堆積反応とエッチング反応を必要とする。堆積反応とエッチング反応は、エピタキシャル層と多結晶層に対して相対的に異なる反応速度で同時に行われる。堆積プロセス中、エピタキシャル層は単結晶表面上に形成され、多結晶層は既存の多結晶層及び/又はアモルファス層のような少なくとも第2層上に堆積される。しかしながら、堆積された多結晶層は、一般に、エピタキシャル層より速い速度でエッチングされる。それ故、エッチングガスの濃度を変えることによって、正味の選択プロセスにより、エピタキシャル物質が堆積され、多結晶物質の堆積が制限されるか又は堆積されない。例えば、選択エピタキシャルプロセスにより、単結晶シリコン表面上にシリコン含有物質のエピ層を形成することができ、スペーサ上には堆積されない。 [0004] In general, selective epitaxy processes require a deposition reaction and an etching reaction. The deposition reaction and the etching reaction are performed simultaneously at relatively different reaction rates with respect to the epitaxial layer and the polycrystalline layer. During the deposition process, an epitaxial layer is formed on the single crystal surface, and the polycrystalline layer is deposited on at least a second layer, such as an existing polycrystalline layer and / or an amorphous layer. However, the deposited polycrystalline layer is generally etched at a faster rate than the epitaxial layer. Therefore, by changing the concentration of the etching gas, the net selection process deposits epitaxial material and limits or does not deposit polycrystalline material. For example, an epitaxial layer of silicon-containing material can be formed on a single crystal silicon surface by a selective epitaxial process and is not deposited on a spacer.
[0005]シリコン含有物質の選択エピタキシャル堆積は、高ソース/ドレイン特徴部とソース/ドレインエクステンション特徴部の形成の間で、例えば、シリコン含有MOSFET(金属酸化物半導体電界効果トランジスタ)デバイスの形成の間で有用な技術になってきた。ソース/ドレインエクステンション特徴部は、シリコン表面をエッチングして凹部ソース/ドレイン特徴部を作り、続いてエッチングされた表面をシリコンゲルマニウム(SiGe)物質のような、選択的に成長したエピ層で充填することによって製造される。選択エピタキシーは、インサイチュドーピングでほぼ完全なドーパント活性化を可能にするのでポストアニールプロセスが省略される。それ故、接合部の深さは、シリコンエッチングと選択エピタキシーによって正確に画成することができる。一方、極浅ソース/ドレイン接合部は、必然的に、直列抵抗の増加を生じる。また、シリサイド形成の間の接合部消費は、更に直列抵抗を増加させる。接合部消費を補償するために、高ソース/ドレインは、接合部上にエピタキシャル的に且つ選択的に成長する。典型的には、高ソース/ドレイン層はドープされていないシリコンである。 [0005] Selective epitaxial deposition of silicon-containing materials is between the formation of high source / drain features and source / drain extension features, for example, during the formation of silicon-containing MOSFET (metal oxide semiconductor field effect transistor) devices. It has become a useful technology. The source / drain extension feature etches the silicon surface to create a recessed source / drain feature, and then fills the etched surface with a selectively grown epi layer, such as silicon germanium (SiGe) material. Manufactured by. Selective epitaxy allows near complete dopant activation with in situ doping, thus eliminating the post-anneal process. Therefore, the junction depth can be accurately defined by silicon etching and selective epitaxy. On the other hand, an ultra-shallow source / drain junction necessarily results in an increase in series resistance. Also, junction consumption during silicide formation further increases the series resistance. In order to compensate for junction consumption, the high source / drain grows epitaxially and selectively on the junction. Typically, the high source / drain layer is undoped silicon.
[0006]しかしながら、現在の選択エピタキシープロセスは、幾つかの欠点を持つ。現在のエピタキシャルプロセス中の選択性を維持するために、前駆物質の化学的濃度だけでなく反応温度も、堆積プロセス全体に調整及び調節されなければならない。充分なシリコン前駆物質が加えられない場合には、エッチング反応が優勢になり、プロセス全体の速度が落ちる。また、基板特徴部の有害な過剰エッチングが起こってしまう。充分なエッチング前駆物質が加えられない場合には、堆積反応は、基板表面全体に単結晶物質と多結晶物質を形成する選択性を低下させることが優勢になる。また、現在の選択エピタキシープロセスは、通常、800℃を超え、1000℃以上のような高反応温度を必要とする。このような高温は、熱量の問題と基板表面に対する制御されない可能な窒化反応のために製造プロセス中は望ましくない。更に、約800℃より低い温度で同時に堆積しエッチングする従来の方法におけるプロセスは、許容し得ない低成長速度が生じる。 [0006] However, current selective epitaxy processes have several drawbacks. In order to maintain selectivity during current epitaxial processes, not only the chemical concentration of the precursors but also the reaction temperature must be adjusted and adjusted throughout the deposition process. If not enough silicon precursor is added, the etch reaction becomes dominant and the overall process is slowed down. Also, harmful over-etching of the substrate features occurs. If not enough etch precursor is added, the deposition reaction predominates to reduce the selectivity to form single and polycrystalline materials across the substrate surface. Also, current selective epitaxy processes usually require high reaction temperatures, such as above 800 ° C. and above 1000 ° C. Such high temperatures are undesirable during the manufacturing process due to thermal issues and possible uncontrolled nitridation reactions to the substrate surface. Further, the process in the conventional method of simultaneously depositing and etching at temperatures below about 800 ° C. results in unacceptable low growth rates.
[0007]それ故、シリコン化合物又はシリコン含有化合物を選択的に且つエピタキシャル的に堆積させる方法が求められている。所望のドーパントとともにこのような化合物を堆積させる方法を与えることが望ましい。更に、高速堆積速度を持ち且つ約800℃以下のようなプロセス温度を維持しつつ、種々の元素濃度を有するシリコン含有化合物を形成する方法は、用途が広いにちがいない。
概要
[0008]一実施形態によれば、基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法は、
a)単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、プロセスチャンバが第1ゾーンと第2ゾーンとを含む前記ステップと;
b)基板をシリコン含有堆積ガスに曝し、プロセスチャンバの圧力を約50トール未満に維持して、単結晶表面上にエピタキシャル層と誘電体表面上に第2物質を形成するステップと;
c)続いて、プロセスチャンバへの堆積ガス流を停止し、プロセスチャンバの圧力を上げ、基板をエッチングガスに曝して、相対的に高いエッチングガス分圧を維持するとともに第2物質をエッチングするステップと;
d)続いて、プロセスチャンバへのエッチングガス流を停止し、プロセスチャンバへパージガス流を流すステップと;
e)ステップb)、c)、d)を順次少なくとも一回繰り返すステップと;
を含む。
[0007] Therefore, there is a need for a method of selectively and epitaxially depositing silicon compounds or silicon-containing compounds. It would be desirable to provide a method for depositing such compounds with the desired dopant. Furthermore, methods for forming silicon-containing compounds having various element concentrations while having a high deposition rate and maintaining a process temperature of about 800 ° C. or less must be versatile.
Overview
[0008] According to one embodiment, a method for selectively and epitaxially forming a silicon-containing material on a substrate surface comprises:
a) placing a substrate comprising a single crystal surface and at least a dielectric surface into a process chamber, said process chamber comprising a first zone and a second zone;
b) exposing the substrate to a silicon-containing deposition gas and maintaining the process chamber pressure below about 50 Torr to form an epitaxial layer on the single crystal surface and a second material on the dielectric surface;
c) subsequently stopping the flow of the deposition gas to the process chamber, increasing the pressure of the process chamber, exposing the substrate to the etching gas, maintaining a relatively high etching gas partial pressure and etching the second material. When;
d) subsequently stopping the flow of etching gas to the process chamber and flowing the purge gas flow to the process chamber;
e) repeating steps b), c) and d) at least once in sequence;
including.
[0009]一実施形態において、方法は、更に、第1ゾーンガス流と第2ゾーンガス流との比を得るように第1ゾーンと第2ゾーンへのガス流を制御するスッテプと、ステップb)とステップc)の間で比が異なるように第1ゾーンガス流と第2ゾーンガス流との比を変えるステップとを含む。ある実施形態において、第1ゾーンは内部半径方向ゾーンを含み、第2ゾーンは外部半径方向ゾーンを含み、ガスは、プロセスチャンバへの内部ゾーンガス流と外部ゾーンガス流との比(I/O)を得、基板を堆積ガスに曝す間、I/Oを約1未満に維持し、基板をエッチングガスに曝す間、約1を超えるI/Oに維持する方法で流される。ある実施形態によれば、エッチングガスはプロセスチャンバへほとんど流されず、堆積ガスがプロセスチャンバへ流れる。一つ以上の実施形態において、基板を堆積ガスに曝す間のI/Oは約0.2〜1.0であり、基板をエッチングガスへ曝す間のI/Oは約1.0を超え、約6.0未満である。 [0009] In one embodiment, the method further includes a step of controlling the gas flow to the first zone and the second zone to obtain a ratio of the first zone gas flow to the second zone gas flow; ) And step c), changing the ratio of the first zone gas flow and the second zone gas flow so that the ratio is different. In certain embodiments, the first zone includes an inner radial zone, the second zone includes an outer radial zone, and the gas is a ratio of an inner zone gas flow to an outer zone gas flow (I / O) to the process chamber. ) And is flowed in a manner that maintains the I / O below about 1 while exposing the substrate to the deposition gas and maintaining the I / O above about 1 while exposing the substrate to the etching gas. According to certain embodiments, little etching gas flows into the process chamber and deposition gas flows into the process chamber. In one or more embodiments, the I / O during exposure of the substrate to the deposition gas is about 0.2 to 1.0, and the I / O during exposure of the substrate to the etching gas is greater than about 1.0, Less than about 6.0.
[0010]ある実施形態によれば、エッチングガスに曝す間のチャンバの圧力は、基板を堆積ガスに曝す間のチャンバ圧力の少なくとも約2倍である。一つ以上の実施形態において、エッチングガスに曝す間のチャンバ内の圧力は、基板を堆積ガスに曝す間のプロセスチャンバの圧力の約2倍〜約10倍である。一つ以上の実施形態によれば、プロセス中の温度は、約800℃未満、例えば、プロセス全体で約750℃未満に維持される。 [0010] According to certain embodiments, the pressure in the chamber during exposure to the etching gas is at least about twice the chamber pressure during exposure of the substrate to the deposition gas. In one or more embodiments, the pressure in the chamber during exposure to the etching gas is about 2 to about 10 times the pressure in the process chamber during exposure of the substrate to the deposition gas. According to one or more embodiments, the temperature during the process is maintained below about 800 ° C., for example, below about 750 ° C. throughout the process.
[0011]他の実施形態において、基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法は、単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、プロセスチャンバが第1ガス流ゾーンと第2ガス流ゾーンを含む前記ステップと;シリコン含有堆積ガスを、第1圧力のプロセスチャンバへと第1ゾーンと第2ゾーンへ流して、1未満の第1ゾーンと第2ゾーンの堆積ガス流比を得るステップと;続いて、プロセスチャンバへの堆積ガス流を停止し、プロセスチャンバの圧力を第2圧力に増加させ、エッチングガスを、1を超える第1ゾーンガス流と第2ゾーンガス流とのエッチングガス流比でプロセスチャンバの内部半径方向ゾーンと外部半径方向ゾーンへ流すステップと;続いて、プロセスチャンバへのエッチングガスを停止し、パージガスをプロセスチャンバへ流すステップと;堆積ガスを流し、エッチングガスを流し、パージガスを流す連続ステップをシリコン含有物質が所望の厚さで形成されるまで少なくとも一回繰り返すステップとを含む。一つ以上の実施形態において、エッチングの間の圧力増加により、基板温度が上がり、パージの間に圧力が低下し、結果として基板温度が低下することになる。 [0011] In another embodiment, a method for selectively and epitaxially forming a silicon-containing material on a substrate surface comprises placing a substrate comprising a single crystal surface and at least a dielectric surface in a process chamber. The step wherein the process chamber includes a first gas flow zone and a second gas flow zone; and a silicon-containing deposition gas is flowed into the first pressure chamber and the second zone into the first pressure process chamber and less than one Obtaining a deposition gas flow ratio of the first zone to the second zone; and subsequently stopping the deposition gas flow to the process chamber, increasing the pressure of the process chamber to the second pressure, Flowing an inner radial zone and an outer radial zone of the process chamber at an etching gas flow ratio of the one zone gas flow to the second zone gas flow; Stopping the etching gas to the process chamber and flowing a purge gas to the process chamber; and flowing a deposition gas, flowing an etching gas, and flowing a purge gas until a silicon-containing material is formed to a desired thickness. Repeating at least once. In one or more embodiments, an increase in pressure during etching increases the substrate temperature and decreases the pressure during purging, resulting in a decrease in substrate temperature.
[0012]一実施形態において、第2圧力は、第1圧力の少なくとも2倍である。ある実施形態において、第2圧力は、第1圧力の約5〜10倍である。ある実施形態によれば、堆積ガスを流す間の第1ゾーンと第2ゾーンとのガス流比は、約0.2〜1.0である。一つ以上の実施形態において、エッチングガスを流す間の第1ゾーンと第2ゾーンとのガス流比は、約1.0を超え、約6.0未満である。 [0012] In one embodiment, the second pressure is at least twice the first pressure. In certain embodiments, the second pressure is about 5 to 10 times the first pressure. According to an embodiment, the gas flow ratio between the first zone and the second zone during the flow of the deposition gas is about 0.2 to 1.0. In one or more embodiments, the gas flow ratio between the first zone and the second zone during the etching gas flow is greater than about 1.0 and less than about 6.0.
[0013]他の実施形態において、基板表面上にシリコン含有物質を選択的に且つエピタキシャル的に形成する方法は、単結晶表面と少なくとも一つの誘電体表面を備える基板をプロセスチャンバに配置するステップであって、プロセスチャンバが第1ガス流ゾーンと第2ガス流ゾーンを含む前記ステップと;エッチングガスがプロセスチャンバへ流されないプロセスチャンバへシリコン含有ガスを流すことを含む堆積ステップを行うステップと;シリコン含有ガスがプロセスチャンバへ流されないプロセスチャンバへエッチングガスを流すことを含むエッチングステップを行うステップと;パージガスが流されるパージステップを行うことを含み、ここで、単一プロセスサイクルは、堆積ステップと、エッチングステップと、パージステップとを含み、プロセスサイクルは、少なくとも一回繰り返され、ガスは第1ゾーンと第2ゾーンに流されて、プロセスチャンバの圧力と、堆積ステップとエッチングステップとパージステップのそれぞれの間の第1ゾーンと第2ゾーン間のガス流比とを得るように流され、プロセスチャンバの圧力の少なくとも一つ又はガス流比は堆積ステップとエッチングステップで異なる。一実施形態において、プロセスの圧力は、堆積の間はエッチングの間より低い。ある実施形態において、プロセスチャンバのより低い圧力によって、結果として基板温度が低下することになる。 [0013] In another embodiment, a method for selectively and epitaxially forming a silicon-containing material on a substrate surface comprises placing a substrate comprising a single crystal surface and at least one dielectric surface in a process chamber. Performing the deposition step including flowing a silicon-containing gas into the process chamber where the process chamber includes a first gas flow zone and a second gas flow zone; and an etching gas is not flowed into the process chamber; Performing an etching step that includes flowing an etching gas to a process chamber in which the contained gas is not flowed into the process chamber; performing a purging step in which a purge gas is flowed, wherein the single process cycle includes a deposition step; Etching step and purging step Thus, the process cycle is repeated at least once, and gas is flowed into the first zone and the second zone, and the process chamber pressure, the first zone and the first zone between the deposition step, the etching step, and the purge step, respectively The gas flow ratio between the two zones is obtained, and at least one of the process chamber pressures or the gas flow ratio is different in the deposition step and the etching step. In one embodiment, the process pressure is lower during deposition than during etching. In certain embodiments, lower pressure in the process chamber will result in lower substrate temperature.
[0014]一実施形態において、第1ゾーンと第2ゾーンとのガス流比は、エッチングステップの間より堆積ステップの間の方が小さい。一実施形態において、第1ゾーンは、プロセスチャンバの内部半径方向ゾーンを含み、第2ゾーンは、チャンバの外部半径方向ゾーンを含む。ある実施形態において、エッチングの間の圧力は、堆積の間の圧力の少なくとも2倍である。一つ以上の実施形態において、プロセスは約800℃未満の温度で行われる。 [0014] In one embodiment, the gas flow ratio between the first zone and the second zone is smaller during the deposition step than during the etching step. In one embodiment, the first zone includes an internal radial zone of the process chamber and the second zone includes an external radial zone of the chamber. In certain embodiments, the pressure during etching is at least twice the pressure during deposition. In one or more embodiments, the process is performed at a temperature less than about 800 ° C.
[0015]本発明の上記特徴が詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、実施形態によって参照されてもよく、それらの一部は添付図面に示されている。しかしながら、添付図面は、本発明の典型的な実施形態のみを示し、それ故、本発明の範囲を制限するとみなされず、本発明は他の同等に有効な実施形態を許容することができることは留意すべきである。 [0015] In order that the above features of the present invention may be understood in detail, a more specific description of the invention briefly summarized above may be referred to by the embodiments, some of which are illustrated in the accompanying drawings. It is shown. It should be noted, however, that the accompanying drawings show only typical embodiments of the invention and are therefore not considered to limit the scope of the invention, and that the invention may allow other equally effective embodiments. Should.
[0019]本発明の幾つかの典型的実施形態を記載する前に、本発明が以下の説明において示される構成又はプロセスステップの詳細に制限されないと理解されるべきである。本発明は、他の実施形態が可能であり、種々の方法で行うことができる。
詳細な説明
[0020]本発明の実施形態は、一般的には、電子デバイスの製造で基板の単結晶表面上にシリコン含有物質を選択的に且つエピタキシャル的に堆積させるプロセスを提供する。単結晶表面(例えば、シリコン又はシリコンゲルマニウム)と少なくとも第2表面、アモルファス表面及び/又は多結晶表面(例えば、酸化物又は窒化物)を含有するパターン形成された基板をエピタキシャルプロセスに曝して、第2表面上に制限された多結晶層を形成するか又は形成せずに、単結晶表面上にエピタキシャル層を形成する。一つ以上の実施形態によれば、エピタキシャルプロセスは、交互ガス供給(AGS)プロセスとも言われ、エピタキシャルプロセスは、エピタキシャル層の所望の厚さに成長するまで堆積プロセスとエッチングプロセスのサイクルを繰り返すことを含む。AGSプロセスは、SelectiveEpitaxy Process With Alternating Gas Supplyと称する米国特許出願公開第2006/0115934号として公開された同時系属中の共同譲渡された米国特許出願第11/001,774号に記載されている。一つ以上の実施形態によれば、交互ガス供給プロセスは、エピタキシャル層の所望の厚さに成長するまで、堆積プロセスと、エッチングプロセスと、パージプロセスのサイクルを繰り返すことを含むのがよい。
[0019] Before describing some exemplary embodiments of the present invention, it is to be understood that the present invention is not limited to the details of construction or process steps set forth in the following description. The invention is capable of other embodiments and can be practiced in various ways.
Detailed description
[0020] Embodiments of the present invention generally provide a process for selectively and epitaxially depositing a silicon-containing material on a single crystal surface of a substrate in the manufacture of electronic devices. Exposing a patterned substrate containing a single crystal surface (eg, silicon or silicon germanium) and at least a second surface, an amorphous surface and / or a polycrystalline surface (eg, oxide or nitride) to an epitaxial process, An epitaxial layer is formed on the single crystal surface with or without forming a limited polycrystalline layer on the two surfaces. According to one or more embodiments, the epitaxial process is also referred to as an alternating gas supply (AGS) process, where the epitaxial process repeats a cycle of deposition and etching processes until it grows to the desired thickness of the epitaxial layer. including. The AGS process is described in co-assigned co-assigned US patent application Ser. No. 11 / 001,774, published as US Patent Application Publication No. 2006/0115934, referred to as Selective Epitaxy Process With Alternate Gas Supply. According to one or more embodiments, the alternating gas supply process may include repeating a cycle of a deposition process, an etching process, and a purge process until the epitaxial layer is grown to a desired thickness.
[0021]一つ以上の実施形態において、堆積プロセスは、基板表面を少なくともシリコンソースを含有する堆積ガスに曝すことを含む。典型的には、堆積ガスはキャリヤガスも含有する。一つ以上の実施形態において、堆積ガスは、ゲルマニウムソース又はカーボンソースだけでなく、ドーパントソースも含むのがよい。堆積プロセス中、エピタキシャル層は基板の単結晶表面上に形成され、多結晶層はアモルファス及び/又は多結晶表面のような第2表面上に形成される。続いて、基板はエッチングガスに曝される。エッチングガスは、キャリヤガスとエッチング剤、例えば、塩素ガス又は塩化水素を含む。エッチングガスは、堆積プロセス中に堆積されたシリコン含有物質を除去する。ある実施形態によれば、エッチングプロセス中、多結晶層はエピタキシャル層より速い速度で除去される。それ故、堆積プロセスとエッチングプロセスの正味の結果は、第2表面上の多結晶シリコン含有物質の成長をあったとしても最小にしつつ、単結晶表面上にエピタキシャル的に成長したシリコン含有物質を形成する。一つ以上の実施形態において、処理チャンバ内の圧力は、堆積の間よりエッチングの間の方が高い圧力であるように堆積ステップとエッチングステップの間で調整される。一つ以上の実施形態によれば、圧力の増加により、結果として基板温度が上昇することになる。他の実施形態において、処理チャンバのあるゾーンへのガス分配は、エッチングステップと堆積ステップとの堆積の間で調整され異なるのがよい。堆積プロセスとエッチングプロセスのサイクルは、シリコン含有物質の所望の厚さを得ることが必要とされるように繰り返すことができる。本発明の実施形態によって堆積され得るシリコン含有物質としては、シリコン、シリコンゲルマニウム、シリコンカーボン、シリコンゲルマニウムカーボン及びそれらのドーパント変形体が挙げられる。 [0021] In one or more embodiments, the deposition process includes exposing the substrate surface to a deposition gas containing at least a silicon source. Typically, the deposition gas also contains a carrier gas. In one or more embodiments, the deposition gas may include a dopant source as well as a germanium source or a carbon source. During the deposition process, an epitaxial layer is formed on the single crystal surface of the substrate and a polycrystalline layer is formed on a second surface, such as an amorphous and / or polycrystalline surface. Subsequently, the substrate is exposed to an etching gas. The etching gas includes a carrier gas and an etching agent, such as chlorine gas or hydrogen chloride. The etching gas removes silicon-containing material deposited during the deposition process. According to certain embodiments, the polycrystalline layer is removed at a faster rate than the epitaxial layer during the etching process. Therefore, the net result of the deposition and etching process is to form a silicon-containing material grown epitaxially on the single crystal surface while minimizing the growth of the polycrystalline silicon-containing material on the second surface, if any. To do. In one or more embodiments, the pressure in the processing chamber is adjusted between the deposition and etching steps such that the pressure is higher during etching than during deposition. According to one or more embodiments, an increase in pressure results in an increase in substrate temperature. In other embodiments, the gas distribution to a zone of the processing chamber may be coordinated and different between the deposition of the etching step and the deposition step. The cycle of the deposition process and the etching process can be repeated as required to obtain the desired thickness of the silicon-containing material. Silicon-containing materials that can be deposited according to embodiments of the present invention include silicon, silicon germanium, silicon carbon, silicon germanium carbon, and dopant variants thereof.
[0022]プロセスの一実施形態において、エッチング剤としての塩素ガスの使用は、全体のプロセス温度を約800℃未満に下げる。一般に、堆積プロセスは、エッチング剤が活性化されるのにしばしば高温を必要とするので、エッチング反応より低い温度で行われてもよい。例えば、シランはシリコンを約500℃以下で堆積させるために熱分解され、塩化水素が、塩化水素は有効なエッチング剤として作用させるために約700℃以上の活性化温度を必要とする。それ故、塩化水素がプロセスで用いられる場合には、全体のプロセス温度は、エッチング剤を活性化させるために必要なより高い温度によって決定される。塩素は、必要とされる全体のプロセス温度を下げることによって全体のプロセスに貢献する。塩素は、約500℃程度の低い温度で活性化させることができる。それ故、塩素をエッチング剤としてプロセスへ組み込むことによって、全体のプロセス温度をエッチング剤として塩化水素を用いるプロセスよりかなり低下させることができる、例えば、200℃〜300℃低下させることができる。また、塩素は、塩化水素より速くシリコン含有物質をエッチングする。それ故、塩素エッチング剤は、プロセスの全体の速度を増加させる。 [0022] In one embodiment of the process, the use of chlorine gas as an etchant reduces the overall process temperature to less than about 800 ° C. In general, the deposition process may be performed at a lower temperature than the etching reaction because it often requires high temperatures for the etchant to be activated. For example, silane is pyrolyzed to deposit silicon at about 500 ° C. or lower, and hydrogen chloride requires an activation temperature of about 700 ° C. or higher for hydrogen chloride to act as an effective etchant. Therefore, if hydrogen chloride is used in the process, the overall process temperature is determined by the higher temperature required to activate the etchant. Chlorine contributes to the overall process by lowering the overall process temperature required. Chlorine can be activated at temperatures as low as about 500 ° C. Therefore, by incorporating chlorine into the process as an etchant, the overall process temperature can be significantly reduced over processes using hydrogen chloride as an etchant, for example, 200 ° C. to 300 ° C. Chlorine also etches silicon-containing materials faster than hydrogen chloride. Therefore, the chlorine etchant increases the overall speed of the process.
[0023]キャリヤガスは、適切なあらゆる不活性ガス又は水素であり得る。アルゴン又はヘリウムのような希ガスが不活性キャリヤガスとして用いることができるが、ある実施形態によれば、窒素は経済的に好適な不活性キャリヤガスである。窒素は、通常は、水素、アルゴン又はヘリウムよりあまり高価でない。キャリヤガスとして窒素を用いることから生じる一つの欠点は、堆積プロセス中の基板上の物質の窒化である。しかしながら、このような方法で窒素を活性化するために800℃を超えるような高温が必要とされる。それ故、一つ以上の実施形態において、窒素は、窒素活性化閾値未満の温度で行われるプロセスで不活性キャリヤガスとして用いることができる。エッチング剤として塩素、また、キャリヤガスとして窒素を用いる組み合わせた効果は、全体のプロセスの速度を著しく増加させる。 [0023] The carrier gas can be any suitable inert gas or hydrogen. Although noble gases such as argon or helium can be used as the inert carrier gas, according to certain embodiments, nitrogen is an economically suitable inert carrier gas. Nitrogen is usually less expensive than hydrogen, argon or helium. One drawback arising from using nitrogen as the carrier gas is the nitridation of materials on the substrate during the deposition process. However, high temperatures exceeding 800 ° C. are required to activate nitrogen in such a manner. Thus, in one or more embodiments, nitrogen can be used as an inert carrier gas in processes performed at temperatures below the nitrogen activation threshold. The combined effect of using chlorine as the etchant and nitrogen as the carrier gas significantly increases the overall process speed.
[0024]本出願全体に、用語“シリコン含有”物質、化合物、膜又は層は、少なくともシリコンを含有する組成物を含むと解釈されるべきであり、ゲルマニウム、カーボン、ホウ素、ヒ素、リン、ガリウム及び/又はアルミニウムを含有してもよい。金属、ハロゲン又は水素のような他の元素も、通常は百万分の1(ppm)で、シリコン含有物質、化合物、膜又は層内に組み込まれてもよい。シリコン含有物質の化合物又は合金は、シリコンがSi、シリコンゲルマニウムがSiGe、シリコンカーボンがSiC、シリコンゲルマニウムカーボンがSiGeCのような略号によって表されてもよい。略号は、化学量論関係による化学式を表さず、シリコン含有物質のある特定の酸化/還元状態も表してない。 [0024] Throughout this application, the term "silicon-containing" material, compound, film or layer should be construed to include a composition containing at least silicon, germanium, carbon, boron, arsenic, phosphorus, gallium And / or aluminum. Other elements such as metals, halogens or hydrogen may also be incorporated into silicon-containing materials, compounds, films or layers, usually in parts per million (ppm). The compound or alloy of a silicon-containing material may be represented by abbreviations such as Si for silicon, silicon germanium for SiGe, silicon carbon for SiC, and silicon germanium carbon for SiGeC. The abbreviations do not represent chemical formulas according to stoichiometry, nor do they represent certain oxidation / reduction states of silicon-containing materials.
[0025]一つ以上の実施形態によれば、AGSプロセスは、シリコン結晶基板上と誘電体膜上の間の異なる核形成速度とメカニズムを変えるように修正される。本発明の実施形態によれば、堆積反応及び膜エッチング反応間の膜の成長反応の独立した最適化と一連の交互堆積サイクルとエッチングサイクルは、選択性を失わずに高選択成長速度を得るために用いられる。本発明が特定の理論によって制限されるべきでないが、ある実施形態において、誘電体表面上でのシリコン核形成は、サイクルの堆積とエッチングにおいて堆積ガス、エッチングガス、ガス流量分布、基板温度、及びリアクタ圧の一つ以上を変えることによって臨界サイズ未満に抑制されて、堆積速度の大きい選択的プロセスが得られる。個々の実施形態において、膜の堆積ステップの間、リアクタ圧は比較的低く、例えば、圧力制御バルブを完全に開放することによって保たれ、シリコン含有ソースは、いかなるエッチングガスもプロセスチャンバへ導入されずにリアクタへ導入される。圧力が他の手段によってチャンバ内で低下させ得ることは理解される。一つ以上の実施形態によれば、低圧の堆積サイクルは、低い堆積分圧を維持し、熱伝導によってウエハの温度を低下させ、それ故、誘電体膜上の過度の膜核形成を抑制する。 [0025] According to one or more embodiments, the AGS process is modified to alter different nucleation rates and mechanisms between the silicon crystal substrate and the dielectric film. According to embodiments of the present invention, independent optimization of the film growth reaction between the deposition reaction and the film etching reaction and a series of alternating deposition and etching cycles to obtain a high selective growth rate without losing selectivity. Used for. Although the present invention should not be limited by any particular theory, in certain embodiments, silicon nucleation on a dielectric surface may be performed during deposition and etching of a cycle with deposition gas, etching gas, gas flow distribution, substrate temperature, and By changing one or more of the reactor pressures, a selective process with a high deposition rate can be obtained that is suppressed below the critical size. In individual embodiments, during the film deposition step, the reactor pressure is relatively low, for example, maintained by fully opening the pressure control valve, and the silicon-containing source does not introduce any etching gas into the process chamber. Is introduced into the reactor. It is understood that the pressure can be reduced in the chamber by other means. According to one or more embodiments, the low pressure deposition cycle maintains a low deposition partial pressure and reduces the temperature of the wafer by thermal conduction, thus suppressing excessive film nucleation on the dielectric film. .
[0026]一つ以上の実施形態において、膜エッチングプロセス中のリアクタ圧は、例えば、圧力制御バルブを完全に閉めることによって増大し、エッチングガス、例えば、HClはリアクタに導入されるが、エッチング中、堆積ガスは流されない。本発明の実施形態によれば、この高圧エッチングサイクルは、高エッチング剤分圧を与え、熱伝導によってウエハの温度を上げ、それ故、膜エッチング効率が高められる。堆積ステップとエッチングステップのサイクル時間を最適化することによって、シリコン基板上のエピタキシャル成長と誘電体膜上に核形成がないこととの間の釣り合いを維持することが可能であり、選択性を失わずに高選択成長速度が得られる。 [0026] In one or more embodiments, the reactor pressure during the film etching process is increased, for example, by fully closing the pressure control valve, and an etching gas, eg, HCl, is introduced into the reactor, but is being etched. The deposition gas is not flowed. According to embodiments of the present invention, this high pressure etch cycle provides a high etchant partial pressure and raises the temperature of the wafer by heat conduction, thus increasing film etch efficiency. By optimizing the cycle time of the deposition and etching steps, it is possible to maintain a balance between epitaxial growth on the silicon substrate and the absence of nucleation on the dielectric film without loss of selectivity. High selective growth rate can be obtained.
[0027]シリコン含有層を堆積させるエピタキシャルプロセスの例示的な実施形態は、通常はパターン形成された基板をプロセスチャンバへ装填するステップと、プロセスチャンバ内の条件を所望の温度とに調整するステップとを含む。一つ以上の実施形態によれば、プロセスチャンバの圧力は、例えば、約50トール未満に、比較的低く保たれる。個々の実施形態において、圧力は約20トール以下に低下する。圧力は比較的低く保たれるが、基板のアモルファス及び/又は多結晶表面上に多結晶層を形成しつつ、シリコンソースガスのような堆積ガスを流すことによって堆積プロセスが開始されて、基板の単結晶表面上にエピタキシャル層を形成する。 [0027] An exemplary embodiment of an epitaxial process for depositing a silicon-containing layer typically includes loading a patterned substrate into a process chamber and adjusting the conditions in the process chamber to a desired temperature. including. According to one or more embodiments, the process chamber pressure is kept relatively low, for example, less than about 50 Torr. In individual embodiments, the pressure drops to about 20 Torr or less. While the pressure is kept relatively low, the deposition process is initiated by flowing a deposition gas, such as a silicon source gas, while forming a polycrystalline layer on the amorphous and / or polycrystalline surface of the substrate. An epitaxial layer is formed on the single crystal surface.
[0028]一つ以上の実施形態によれば、堆積の間、プロセスチャンバ内の流量分布は、プロセスチャンバの第2ゾーン、例えば、外部半径方向ゾーンより第1ゾーン、例えば、内部半径方向ゾーンに、より多量の堆積ガスが流されるように維持されている。プロセスチャンバの内部半径方向ゾーンと外部半径方向ゾーンは、処理された基板の直径と一致するように選択される。しかしながら、ガスのプロセスチャンバへの流量分布が他の方法で変化させ得ることは理解される。例示的実施形態において、内部半径方向ゾーンは、処理される基板の約半分の直径を持つ基板の同軸の中央ゾーンであるのがよい。そのとき、外部半径方向ゾーンは、内部半径方向ゾーンを取り囲む領域を備える。一例として、300mmの直径を有する円形基板を処理するためにプロセスチャンバにおいて、内部ゾーンは基板の75mm中央領域であり得る。 [0028] According to one or more embodiments, during deposition, the flow distribution within the process chamber is shifted from a second zone of the process chamber, eg, an outer radial zone, to a first zone, eg, an inner radial zone. A larger amount of deposition gas is maintained to flow. The inner and outer radial zones of the process chamber are selected to match the diameter of the processed substrate. However, it is understood that the flow distribution of gas into the process chamber can be varied in other ways. In an exemplary embodiment, the internal radial zone may be a coaxial central zone of the substrate having a diameter about half that of the substrate being processed. The outer radial zone then comprises a region surrounding the inner radial zone. As an example, in a process chamber for processing a circular substrate having a diameter of 300 mm, the internal zone may be a 75 mm central region of the substrate.
[0029]図1を参照すると、基板110を含有するプロセスチャンバ100の概略平面図が示される。プロセスチャンバは、基板110を含有する、第1又は内部半径方向ゾーン112と第2又は外部半径方向ゾーン114を含む。ガスソース120は、内部ゾーンガスコンジット122と外部ゾーンガスコンジット124、126と流体で連通している。ガスコンジット122、124、126は、チャンバと流体で連通している分配ポート130に接続することができる。分配ポート130は、一つ以上の内部ゾーンポート132と二つ以上の外部ゾーンポート134、136と連通していてもよい。内部ゾーン計量バルブ142と外部ゾーン計量バルブ144は、内部半径方向ゾーン112と外部半径方向ゾーン114に流れるそのプロセスガス量をそれぞれ制御する。計量バルブ142と144は、内部ゾーンガスコンジット122と外部ゾーンガスコンジット124の直径を小さくするように調整し得る。ガスコンジットの直径を減少させることによって、ゾーンに流れるガス量が減少させることができ、ガスコンジットの直径を大きくすると、ゾーンへ流れるガス量は増加させることができる。このようなガス分配装置は、アプライドマテリアルズ、カリフォルニア州サンタクララから入手できるAccusettTM計量バルブを含むEpiCentura(登録商標)から入手できる。それぞれのゾーンへの流れを減少させる他の方法が用い得ることは理解される。例えば、計量バルブの代わりに、ガス流は、コンジットに流れ込むガス量を調節するマスフローコントローラ又は他の適切なフローコントローラによって制御され得る。更に、チャンバ内の流量分布は、内部半径方向ゾーンと外部半径方向ゾーンの流れを供給する以外の方法で変化させ得る。
[0029] Referring to FIG. 1, a schematic plan view of a
[0030]内部半径方向ゾーン112に流れるガス量と外部半径方向ゾーン114に流れるガス量との比は、I/Oで表すことができ、ここで、Iは内部半径方向ゾーン112に流れるガス量であり、Oは外部半径方向ゾーン114に流れるガス量である。一つ以上の実施形態において、堆積の間、I/O比は約1未満である。ある実施形態によれば、I/O比は、約0.2〜1.0、個々の実施形態において、約0.4〜0.8である。
[0030] The ratio of the amount of gas flowing in the
[0031]その後、堆積プロセスを終了し、一つ以上の実施形態によれば、プロセスチャンバの圧力は、例えば、約50トールを超えるより高い圧力に傾斜させるか又は増加させる。一つ以上の実施形態によれば、圧力は、例えば、約100トール以上、約300トールに傾斜させ得る。ある実施形態によれば、プロセスチャンバの圧力の増加により、結果として基板処理装置の温度設定点を変えずに基板温度が上昇することになる。言い換えると、基板の温度は、典型的には加熱ランプである基板加熱素子に供給される電力を変えずに変化させることができる。エッチングガスは、その後、内部半径方向ゾーンと外部半径方向ゾーンへのプロセスチャンバへ流される。一つ以上の実施形態によれば、堆積ガスは流されず、エッチングガスはプロセスチャンバへ流される。本発明の一実施形態において、内部半径方向ゾーンと外部半径方向ゾーンの流量分布は、内部半径方向ゾーンが外部半径方向ゾーンへの流れより大きいように調整される。一つ以上の実施形態によれば、エッチングの間のI/O比は、約1を超え、例えば、約1.0〜6.0、より詳しくは約1.0〜3.0である。好ましくは、多結晶層は、エピタキシャル層より速い速度でエッチングされる。エッチングステップは、エピタキシャル層の境界部のみを除去しつつ、多結晶層を最小にするか又は完全に除去する。エッチングプロセスは、そのとき終了する。エピタキシャル層と多結晶層の厚さは、その後、厚さを測定することによって決定される。エピタキシャル層又は多結晶層の所定の厚さが達成される場合には、エピタキシャルプロセスを終了させる。しかしながら、所定の厚さが達成されない場合には、堆積とエッチングのステップは所定の厚さが達成されるまでサイクルとして繰り返される。 [0031] The deposition process is then terminated and, according to one or more embodiments, the pressure in the process chamber is ramped or increased to a higher pressure, for example, greater than about 50 Torr. According to one or more embodiments, the pressure can be ramped to, for example, about 100 Torr or more, about 300 Torr. According to certain embodiments, increasing the pressure in the process chamber results in an increase in substrate temperature without changing the temperature set point of the substrate processing apparatus. In other words, the temperature of the substrate can be changed without changing the power supplied to the substrate heating element, which is typically a heating lamp. Etching gas is then flowed into the process chamber to the inner radial zone and the outer radial zone. According to one or more embodiments, the deposition gas is not flowed and the etching gas is flowed to the process chamber. In one embodiment of the present invention, the flow distribution of the inner radial zone and the outer radial zone is adjusted so that the inner radial zone is larger than the flow to the outer radial zone. According to one or more embodiments, the I / O ratio during etching is greater than about 1, for example, about 1.0 to 6.0, and more specifically about 1.0 to 3.0. Preferably, the polycrystalline layer is etched at a faster rate than the epitaxial layer. The etching step minimizes or completely removes the polycrystalline layer while removing only the epitaxial layer boundaries. The etching process is then terminated. The thickness of the epitaxial layer and the polycrystalline layer is then determined by measuring the thickness. If the predetermined thickness of the epitaxial layer or polycrystalline layer is achieved, the epitaxial process is terminated. However, if the predetermined thickness is not achieved, the deposition and etching steps are repeated as a cycle until the predetermined thickness is achieved.
[0032]堆積ステップとエッチングステップのシーケンスは、更に、プロセスチャンバをパージするステップを含んでもよい。パージの後、エピタキシャル層の厚さを決定することができ、必要な場合には、堆積と、エッチングと、所望によるパージステップのシーケンスが繰り返されてもよい。パージステップ中、プロセスチャンバ内の圧力は、エッチング中、チャンバ内に維持される圧力より低下し、幾つかの実施形態によれば、圧力は、堆積の間と同一の圧力に低下させるのがよい。一つ以上の実施形態によれば、プロセスチャンバ内の圧力の減少により、基板温度の急速な低下が生じる。従って、基板温度は、プロセスチャンバの加熱ランプに追加の電力を供給せずにプロセスチャンバ内の温度を変化させることによって制御させ得る。更に、パージ中、I/O比は、内部半径方向ゾーンより外部半径方向ゾーンへパージガス量が大きくなるように調整することができる。 [0032] The sequence of deposition and etching steps may further include purging the process chamber. After purging, the thickness of the epitaxial layer can be determined, and if necessary, the sequence of deposition, etching, and optionally purging steps may be repeated. During the purge step, the pressure in the process chamber drops below the pressure maintained in the chamber during etching, and according to some embodiments, the pressure may be reduced to the same pressure as during deposition. . According to one or more embodiments, a decrease in pressure within the process chamber causes a rapid decrease in substrate temperature. Thus, the substrate temperature can be controlled by changing the temperature in the process chamber without supplying additional power to the process chamber heating lamp. Further, during purging, the I / O ratio can be adjusted so that the amount of purge gas increases from the inner radial zone to the outer radial zone.
[0033]プロセスシーケンスの例示的詳細は、更にここで記載される。プロセスチャンバへ装填される基板は、典型的にはパターン形成された基板である。パターン形成された基板は、基板表面内に又は上に形成された電子特徴部を含む基板である。パターン形成された基板は、通常、単結晶表面と多結晶面又はアモルファス表面のような非単結晶である少なくとも一つの第2表面を含有する。単結晶表面は、通常はシリコン、シリコンゲルマニウム又はシリコンカーボンのような物質から作られたはだかの結晶基板又は堆積された単一結晶層を含む。多結晶表面又はアモルファス表面は、酸化物、窒化物、詳しくは酸化シリコン又は窒化シリコンだけでなく、アモルファスシリコン表面のような誘電材料を含んでもよい。 [0033] Exemplary details of the process sequence are further described herein. The substrate loaded into the process chamber is typically a patterned substrate. A patterned substrate is a substrate that includes electronic features formed in or on the substrate surface. The patterned substrate typically contains a single crystal surface and at least one second surface that is non-single crystal, such as a polycrystalline or amorphous surface. A single crystal surface typically includes a bare crystal substrate or a deposited single crystal layer made of a material such as silicon, silicon germanium or silicon carbon. Polycrystalline or amorphous surfaces may include dielectric materials such as oxide, nitride, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
[0034]エピタキシャルプロセスは、上述された堆積ステップの間、パターン形成された基板を含有するプロセスチャンバを所定の温度と圧力に調節することによって開始する。温度は行われる具体的なプロセスに調整される。以前のプロセスにおいて、プロセスチャンバは、エピタキシャルプロセス全体に一貫した圧力、温度、流量分布に維持される。しかしながら、本発明の実施形態によれば、温度は堆積ステップ、エッチングステップ、パージステップの間で異なってもよい。一実施形態において、温度はエッチングステップ中で上昇する。堆積中、プロセスチャンバは、約250℃〜約1000℃、詳しくは500℃〜約800℃、より詳しくは約550℃〜約750℃の範囲の温度に保たれる。エピタキシャルプロセスを行うのに適切な温度は、シリコン含有物質の堆積及び/又はエッチングするために用いられる具体的な前駆物質に左右されてもよい。一例において、塩素(Cl2)ガスは、より一般的なエッチング剤を用いたプロセスよりも低い温度でシリコン含有物質のエッチング剤として例外的によく作用する。それ故、一実施形態において、プロセスチャンバを予熱するのに適切な温度は、約750℃以下、詳しくは約650℃以下、より詳しくは約550℃以下である。堆積中、プロセスチャンバは、通常、約1トール〜約50トールの圧力で維持される。 [0034] The epitaxial process begins by adjusting the process chamber containing the patterned substrate to a predetermined temperature and pressure during the deposition steps described above. The temperature is adjusted to the specific process being performed. In previous processes, the process chamber is maintained at a pressure, temperature and flow distribution that is consistent throughout the epitaxial process. However, according to embodiments of the present invention, the temperature may vary between the deposition step, the etching step, and the purge step. In one embodiment, the temperature increases during the etching step. During deposition, the process chamber is maintained at a temperature in the range of about 250 ° C to about 1000 ° C, specifically 500 ° C to about 800 ° C, more specifically about 550 ° C to about 750 ° C. The appropriate temperature for performing the epitaxial process may depend on the specific precursor used to deposit and / or etch the silicon-containing material. In one example, chlorine (Cl 2 ) gas works exceptionally well as an etchant for silicon-containing materials at lower temperatures than processes using more common etchants. Thus, in one embodiment, a suitable temperature for preheating the process chamber is about 750 ° C. or less, specifically about 650 ° C. or less, more specifically about 550 ° C. or less. During deposition, the process chamber is typically maintained at a pressure of about 1 Torr to about 50 Torr.
[0035]堆積プロセス中、パターン形成された基板を堆積ガスに曝し、第2表面上に多結晶層を形成しつつ、単結晶表面上にエピタキシャル層を形成する。基板は、堆積ガスに約0.5秒〜約30秒、例えば、約1秒〜約20秒、より詳しくは約5秒〜約10秒間曝される。堆積プロセスの個々に曝される時間は、エッチングプロセス中に曝される時間だけでなく、プロセスに用いられる具体的な前駆物質と温度と関係して決定される。一般に、簡単にエッチングすることができる多結晶層の最小の厚さを形成しつつエピタキシャル層の最大にした厚さを形成するのに充分長く基板は堆積ガスに曝される。 [0035] During the deposition process, the patterned substrate is exposed to a deposition gas to form an epitaxial layer on the single crystal surface while forming a polycrystalline layer on the second surface. The substrate is exposed to the deposition gas for about 0.5 seconds to about 30 seconds, such as from about 1 second to about 20 seconds, and more particularly from about 5 seconds to about 10 seconds. The individual exposure time of the deposition process is determined in relation to the specific precursor used in the process and the temperature, as well as the time of exposure during the etching process. In general, the substrate is exposed to the deposition gas long enough to form the maximum thickness of the epitaxial layer while forming the minimum thickness of the polycrystalline layer that can be easily etched.
[0036]堆積ガスは、少なくともシリコンソースとキャリヤガスを含有し、ゲルマニウムソース及び/又はカーボンソースのような少なくとも一つの第2元素ソースを含有することができる。また、堆積ガスは、更に、ホウ素、ヒ素、リン、ガリウム及び/又はアルミニウムのようなドーパントのソースを供給するためにドーパント化合物を含むことができる。 [0036] The deposition gas contains at least a silicon source and a carrier gas, and may contain at least one second element source such as a germanium source and / or a carbon source. Also, the deposition gas can further include a dopant compound to provide a source of dopant, such as boron, arsenic, phosphorus, gallium and / or aluminum.
[0037]シリコンソースは、通常、約5sccm〜約500sccm、例えば、約10sccm〜約300sccm、より詳しくは約50sccm〜約200sccm、例えば、50sccmの範囲の流量でプロセスチャンバに供給される。シリコン含有化合物を堆積させる堆積ガスに有用なシリコンソースとしては、シラン、ハロゲン化シラン及びオルガノシランが挙げられる。シリコン含有化合物を堆積させる堆積ガスに有用なシリコンソースとしては、シラン、ハロゲン化シラン及びオルガノシランが挙げられる。シランとしては、シラン(SiH4)及び実験式SixH(2x+2)を有する高級シラン、例えば、ジシラン(Si2H6)、トリシラン(Si3H8)、テトラシラン(Si4H10)等が挙げられる。ハロゲン化シランとしては、実験式X’ySixH(2x+2-y)を有する化合物、ここでX’=F、Cl、Br又はI、例えば、ヘキサクロロジシラン(Si2Cl6)、テトラクロロシラン(SiCl4)、ジクロロシラン(Cl2SiH2)及びトリクロロシラン(Cl3SiH)が挙げられる。オルガノシランとしては、実験式RySixH(2x+2-y)を有する化合物、ここで、R=メチル、エチル、プロピル、ブチル、例えば、メチルシラン((CH3)SiH3)、ジメチルシラン((CH3)2SiH2)、エチルシラン((CH3CH2)SiH3)、メチルジシラン((CH3)Si2H5)、ジメチルジシラン((CH3)2Si2H4)及びヘキサメチルジシラン((CH3)6Si2)が挙げられる。オルガノシラン化合物は、シリコンソースだけでなく、堆積されたシリコン含有化合物にカーボンを組み込んでいる実施形態においてカーボンソースも有利であることがわかった。 [0037] The silicon source is typically supplied to the process chamber at a flow rate in the range of about 5 seem to about 500 seem, for example, about 10 seem to about 300 seem, more specifically about 50 seem to about 200 seem, for example 50 seem. Silicon sources useful for deposition gases for depositing silicon-containing compounds include silanes, halogenated silanes, and organosilanes. Silicon sources useful for deposition gases for depositing silicon-containing compounds include silanes, halogenated silanes, and organosilanes. Examples of silane include higher silanes having silane (SiH 4 ) and empirical formula Si x H (2x + 2) , such as disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), tetrasilane (Si 4 H 10 ), and the like. Can be mentioned. Halogenated silanes include compounds having the empirical formula X ′ y Si x H (2x + 2-y) , where X ′ = F, Cl, Br or I, such as hexachlorodisilane (Si 2 Cl 6 ), tetrachlorosilane ( SiCl 4 ), dichlorosilane (Cl 2 SiH 2 ) and trichlorosilane (Cl 3 SiH). Organosilanes include compounds having the empirical formula R y Si x H (2x + 2-y) , where R = methyl, ethyl, propyl, butyl, for example, methylsilane ((CH 3 ) SiH 3 ), dimethylsilane (( CH 3) 2 SiH 2), ethylsilane ((CH 3 CH 2) SiH 3), disilane ((CH 3) Si 2 H 5), dimethyl disilane ((CH 3) 2 Si 2 H 4) and hexamethyldisilane ((CH 3 ) 6 Si 2 ). Organosilane compounds have been found to be advantageous not only in silicon sources, but also in embodiments in which carbon is incorporated into the deposited silicon-containing compound.
[0038]シリコンソースは、通常は、キャリヤガスと共にプロセスチャンバへ供給される。キャリヤガスは、約1slm(標準リットル毎分)〜約100slm、例えば、約5slm〜約75slm、より詳しくは約10slm〜約50slm、例えば、約25slmの流量を有する。キャリヤガスとしては、窒素(N2)、水素(H2)、アルゴン、ヘリウム及びそれらの組合わせが挙げられるのがよい。不活性キャリヤガスは、用いられる一つ又は複数の前駆物質及び/又はエピタキシャルプロセス中のプロセス温度に基づいて選択することができる。キャリヤガスは、堆積とエッチングのステップのそれぞれ全体に同じであってもよい。しかしながら、幾つかの実施形態は、具体的なステップにおいて異なるキャリヤガスを用いることができる。例えば、窒素は、堆積中にシリコンソースと、エッチング中にエッチング剤とのキャリヤガスとして用いることができる。 [0038] A silicon source is typically supplied to the process chamber along with a carrier gas. The carrier gas has a flow rate of about 1 slm (standard liters per minute) to about 100 slm, such as about 5 slm to about 75 slm, more specifically about 10 slm to about 50 slm, such as about 25 slm. Carrier gases may include nitrogen (N 2 ), hydrogen (H 2 ), argon, helium and combinations thereof. The inert carrier gas can be selected based on the precursor or precursors used and / or the process temperature during the epitaxial process. The carrier gas may be the same for each of the deposition and etching steps. However, some embodiments may use different carrier gases in specific steps. For example, nitrogen can be used as a carrier gas for the silicon source during deposition and the etchant during etching.
[0039]窒素は、低温(例えば、<800℃)プロセスを特徴とする実施形態においてキャリヤガスとして用いることができる。低温プロセスは、一部にはエッチングプロセスにおいて塩素ガスの使用により利用しやすい。窒素は、低温堆積プロセス中、不活性のままである。それ故、窒素は、低温プロセス中、堆積されたシリコン含有物質へ組み込まれない。最後に、窒素は水素、アルゴン又はヘリウムよりはるかに安いので、低温プロセスは、キャリヤガスとして窒素を経済的に利用することができる。窒素は幾つかの利点を有するが、本発明はキャリヤガスとしての窒素の使用を限定せず、ハロゲンや希ガスのような他の適切なキャリヤガスを用いることもできる。 [0039] Nitrogen can be used as a carrier gas in embodiments featuring low temperature (eg, <800 ° C.) processes. Low temperature processes are easier to use in part by the use of chlorine gas in the etching process. Nitrogen remains inert during the low temperature deposition process. Therefore, nitrogen is not incorporated into the deposited silicon-containing material during the low temperature process. Finally, because nitrogen is much cheaper than hydrogen, argon or helium, low temperature processes can economically utilize nitrogen as a carrier gas. Although nitrogen has several advantages, the present invention does not limit the use of nitrogen as a carrier gas, and other suitable carrier gases such as halogens and noble gases can be used.
[0040]堆積ガスは、また、少なくとも一つの第2元素ソース、例えば、ゲルマニウムソース及び/又はカーボンソースを含有することができる。ゲルマニウムソースは、シリコンゲルマニウム物質のようなシリコン含有化合物を形成するためにシリコンソースとキャリヤガスとともにプロセスチャンバに加えることができる。ゲルマニウムソースは、通常は、約0.1sccm〜約20sccm、例えば、約0.5sccm〜約10sccm、より詳しくは約1ccm〜約5sccm、例えば、約5sccmの範囲の流量でプロセスチャンバへ供給される。シリコン含有化合物を堆積させるのに有用なゲルマニウムソースとしては、ゲルマン(GeH4)、高級ゲルマン及びオルガノゲルマンが挙げられる。高級ゲルマンとしては、実験式GexH(2x+2)を有する化合物、例えば、ジゲルマン(Ge2H6)、トリゲルマン(Ge3H8)、テトラゲルマン(Ge4H10)等が挙げられる。オルガノゲルマンとしては、メチルゲルマン((CH3)GeH3)、ジメチルゲルマン((CH3)2GeH2)、エチルゲルマン((CH3CH2)GeH3)、メチルジゲルマン((CH3)Ge2H5)、ジメチルジゲルマン((CH3)2Ge2H4)及びヘキサメチルジゲルマン((CH3)6Ge2)のような化合物が挙げられる。ゲルマンや有機ゲルマン化合物は、ゲルマニウムとカーボンを堆積されたシリコン含有化合物、即ち、SiGeとSiGeCの化合物に組み込みつつ実施形態において有利なゲルマニウムソースとカーボンソースであることがわかった。エピタキシャル層内のゲルマニウム濃度は、約1原子%〜約30原子%、例えば、約20%の範囲である。ゲルマニウム濃度は、エピタキシャル層内で段階的であってもよく、好ましくはエピタキシャル層の上部よりエピタキシャル層の下部が高いゲルマニウム濃度で段階的である。 [0040] The deposition gas may also contain at least one second element source, such as a germanium source and / or a carbon source. A germanium source can be added to the process chamber along with a silicon source and a carrier gas to form a silicon-containing compound such as a silicon germanium material. The germanium source is typically supplied to the process chamber at a flow rate in the range of about 0.1 sccm to about 20 sccm, such as about 0.5 sccm to about 10 sccm, more specifically about 1 ccm to about 5 sccm, such as about 5 sccm. Germanium sources useful for depositing silicon-containing compounds include germane (GeH 4 ), higher germane and organogermane. Examples of the higher germane include compounds having an empirical formula Ge x H (2x + 2) , such as digermane (Ge 2 H 6 ), trigermane (Ge 3 H 8 ), and tetragermane (Ge 4 H 10 ). Examples of the organogermane include methyl germane ((CH 3 ) GeH 3 ), dimethyl germane ((CH 3 ) 2 GeH 2 ), ethyl germane ((CH 3 CH 2 ) GeH 3 ), methyl digermane ((CH 3 ) Ge 2 H 5 ), dimethyl digermane ((CH 3 ) 2 Ge 2 H 4 ) and hexamethyl digermane ((CH 3 ) 6 Ge 2 ). It has been found that germane and organic germane compounds are advantageous germanium and carbon sources in embodiments while incorporating germanium and carbon into deposited silicon-containing compounds, ie, SiGe and SiGeC compounds. The germanium concentration in the epitaxial layer ranges from about 1 atomic% to about 30 atomic%, for example, about 20%. The germanium concentration may be stepped within the epitaxial layer, preferably stepwise with a germanium concentration higher in the lower portion of the epitaxial layer than in the upper portion of the epitaxial layer.
[0041]或いは、カーボンソースは、シリコンカーボン物質のようなシリコン含有化合物を形成するためにシリコンソースとキャリヤガスとともにプロセスチャンバに堆積を加えることができる。カーボンソースは、通常は、約0.1sccm〜約20sccm、例えば、約0.5sccm〜約10sccm、より詳しくは1sccm〜約5sccm、例えば、約2sccmの範囲の流量でプロセスチャンバへ供給される。シリコン含有化合物を堆積させるのに有用なカーボンソースとしては、オルガノシラン、エチル、プロピル及びブチルのアルキル、アルケン及びアルキンが挙げられる。このようなカーボンソースとしては、メチルシラン(CH3SiH3)、ジメチルシラン((CH3)2SiH2)、エチルシラン(CH3CH2SiH3)、メタン(CH4)、エチレン(C2H4)、エチン(C2H2)、プロパン(C3H8)、プロペン(C3H6)、ブチン(C4H6)等が挙げられる。エピタキシャル層のカーボン濃度は、約200ppm〜約5原子%、例えば、約1原子%〜約3原子%、例えば、1.5原子%の範囲である。一実施形態において、カーボン濃度は、エピタキシャル層内で段階的であってもよく、好ましくは、エピタキシャル層の最終部分よりエピタキシャル層の開始部分がより低いカーボン濃度で段階的であってもよい。或いは、ゲルマニウムソースとカーボンソースは共に、堆積の間、シリコン含有化合物、例えば、シリコンカーボン又はシリコンゲルマニウムカーボン物質を形成するためにシリコンソースとキャリヤガスとともにプロセスチャンバへ加えることができる。 [0041] Alternatively, a carbon source can be added to the process chamber along with a silicon source and a carrier gas to form a silicon-containing compound, such as a silicon carbon material. The carbon source is typically supplied to the process chamber at a flow rate in the range of about 0.1 sccm to about 20 sccm, such as about 0.5 sccm to about 10 sccm, more specifically 1 sccm to about 5 sccm, such as about 2 sccm. Carbon sources useful for depositing silicon-containing compounds include organosilanes, ethyl, propyl and butyl alkyls, alkenes and alkynes. Examples of such a carbon source include methylsilane (CH 3 SiH 3 ), dimethylsilane ((CH 3 ) 2 SiH 2 ), ethylsilane (CH 3 CH 2 SiH 3 ), methane (CH 4 ), and ethylene (C 2 H 4). ), Ethyne (C 2 H 2 ), propane (C 3 H 8 ), propene (C 3 H 6 ), butyne (C 4 H 6 ) and the like. The carbon concentration of the epitaxial layer ranges from about 200 ppm to about 5 atomic percent, such as from about 1 atomic percent to about 3 atomic percent, such as 1.5 atomic percent. In one embodiment, the carbon concentration may be stepped within the epitaxial layer, and preferably may be stepped at a lower carbon concentration at the beginning of the epitaxial layer than the final portion of the epitaxial layer. Alternatively, both a germanium source and a carbon source can be added to the process chamber during deposition with a silicon source and a carrier gas to form a silicon-containing compound, such as silicon carbon or silicon germanium carbon material.
[0042]用いられる堆積ガスは、更に、ホウ素、ヒ素、リン、ガリウム又はアルミニウムのような元素ドーパントソースを供給するために少なくとも一つのドーパント化合物を含むことができる。ドーパントは、電子デバイスが必要とする制御され所望される経路において方向電子流のような種々の導電特性を持つ堆積されたシリコン含有化合物を与える。シリコン含有化合物の膜は、所望の導電特性を達成するために特定のドーパントでドープされる。一例において、シリコン含有化合物は、例えば、ジボランを用いてホウ素を約1015原子/cm3〜約1021原子/cm3の範囲の濃度で加えることによって、p形にドープされる。一例において、p形ドーパントは、少なくとも5×1019原子/cm3の濃度を持つ。他の例において、p形ドーパントは、約1×1020原子/cm3〜約2.5×1021原子/cm3の範囲である。他の例において、シリコン含有化合物は、例えば、約1015原子/cm3〜約1021原子/cm3の濃度のリン及び/又はヒ素を用いて、n形にドープされる。 [0042] The deposition gas used may further include at least one dopant compound to provide an elemental dopant source such as boron, arsenic, phosphorus, gallium or aluminum. The dopant provides a deposited silicon-containing compound with various conductive properties such as directional electron flow in the controlled and desired path required by the electronic device. Silicon-containing compound films are doped with specific dopants to achieve the desired conductive properties. In one example, the silicon-containing compound is doped p-type using, for example, diborane to add boron at a concentration in the range of about 10 15 atoms / cm 3 to about 10 21 atoms / cm 3 . In one example, the p-type dopant has a concentration of at least 5 × 10 19 atoms / cm 3 . In other examples, the p-type dopant ranges from about 1 × 10 20 atoms / cm 3 to about 2.5 × 10 21 atoms / cm 3 . In other examples, the silicon-containing compound is doped n-type using, for example, phosphorus and / or arsenic at a concentration of about 10 15 atoms / cm 3 to about 10 21 atoms / cm 3 .
[0043]ドーパントソースは、通常は、約0.1sccm〜約20sccm、例えば、約0.5sccm〜約10sccm、より詳しくは約1sccm〜約5sccm、例えば、約2sccmの範囲の流量で堆積の間にプロセスチャンバに供給される。ドーパントソースとして有用なホウ素含有ドーパントとしては、ボラン及びオルガノボランが挙げられる。ボランとしては、ボラン、ジボラン(B2H6)、トリボラン、テトラボラン、及びペンタボランが挙げられ、アルキルボランとしては、実験式RxBH(3-x)、ここで、R=メチル、エチル、プロピル又はブチル、x=1、2又は3を有する化合物が挙げられる。アルキルボランとしては、トリメチルボラン((CH3)3B)、ジメチルボラン((CH3)2BH)、トリエチルボラン((CH3CH2)3B)及びジエチルボラン((CH3CH2)2BH)が挙げられる。ドーパントとしては、また、アルシン(AsH3)、ホスフィン(PH3)、及びアルキルホスフィン、例えば、実験式RxPH(3-x)、ここで、R=メチル、エチル、プロピル又はブチル、x=1、2又は3が挙げられる。アルキルホスフィンとしては、トリメチルホスフィン((CH3)3P)、ジメチルホスフィン((CH3)2PH)、トリエチルホスフィン((CH3CH2)3P)及びジエチルホスフィン((CH3CH2)2PH)が挙げられる。アルミニウム及びガリウムドーパントソースとしては、実験式RxMX(3-x)、ここで、M=Al又はGa、R=メチル、エチル、プロピル、ブチル、X=Cl又はF、x=0、1、2又は3で記載されるようなアルキル化及び/又はハロゲン化誘導体が挙げられる。アルミニウム及びガリウムドーパントソースの例としては、トリメチルアルミニウム(Me3Al)、トリエチルアルミニウム(Et3Al)、塩化ジメチルアルミニウム(Me2AlCl)、塩化アルミニウム(AlCl3)、トリメチルガリウム(Me3Ga)、トリメチルガリウム(Et3Ga)、塩化ジメチルガリウム(Me2GaCl)及び塩化ガリウム(GaCl3)が挙げられる。 [0043] The dopant source is typically deposited during deposition at a flow rate in the range of about 0.1 sccm to about 20 sccm, such as about 0.5 sccm to about 10 sccm, more particularly about 1 sccm to about 5 sccm, such as about 2 sccm. Supplied to the process chamber. Boron-containing dopants useful as a dopant source include borane and organoborane. Examples of borane include borane, diborane (B 2 H 6 ), triborane, tetraborane, and pentaborane. Examples of alkylborane include empirical formula R x BH (3-x) , where R = methyl, ethyl, propyl Or compounds having butyl, x = 1, 2 or 3. Examples of the alkyl borane include trimethyl borane ((CH 3 ) 3 B), dimethyl borane ((CH 3 ) 2 BH), triethyl borane ((CH 3 CH 2 ) 3 B) and diethyl borane ((CH 3 CH 2 ) 2. BH). Dopants also include arsine (AsH 3 ), phosphine (PH 3 ), and alkyl phosphines, such as the empirical formula R x PH (3-x) , where R = methyl, ethyl, propyl or butyl, x = 1, 2, or 3. Examples of the alkylphosphine include trimethylphosphine ((CH 3 ) 3 P), dimethylphosphine ((CH 3 ) 2 PH), triethylphosphine ((CH 3 CH 2 ) 3 P), and diethylphosphine ((CH 3 CH 2 ) 2. PH). Aluminum and gallium dopant sources include empirical formulas R x MX (3-x) where M = Al or Ga, R = methyl, ethyl, propyl, butyl, X = Cl or F, x = 0, 1, Examples include alkylated and / or halogenated derivatives as described in 2 or 3. Examples of aluminum and gallium dopant sources include trimethylaluminum (Me 3 Al), triethylaluminum (Et 3 Al), dimethylaluminum chloride (Me 2 AlCl), aluminum chloride (AlCl 3 ), trimethylgallium (Me 3 Ga), Examples include trimethyl gallium (Et 3 Ga), dimethyl gallium chloride (Me 2 GaCl), and gallium chloride (GaCl 3 ).
[0044]堆積プロセスが終了した後、一例において、プロセスチャンバは、パージガス又はキャリヤガスでフラッシュするのがよく更に/又はプロセスチャンバは真空ポンプによって排気されるのがよい。パージプロセス及び/又は排気プロセスは、余分な堆積ガス、反応副産物及び他の汚染物質を除去する。他の例において、一旦堆積プロセスが終了すると、プロセスチャンバのパージ又は排気せずにエッチングプロセスがただちに開始される。 [0044] After the deposition process is complete, in one example, the process chamber may be flushed with a purge or carrier gas and / or the process chamber may be evacuated by a vacuum pump. The purge process and / or the exhaust process removes excess deposition gas, reaction byproducts and other contaminants. In another example, once the deposition process is complete, the etching process is started immediately without purging or evacuating the process chamber.
[0045]エッチングプロセスは、堆積の間にシリコン含有物質を堆積した基板表面から除去する。エッチングプロセスは、エピタキシャル物質又は単結晶物質とアモルファス物質又は多結晶物質の双方を除去する。基板表面上に堆積された多結晶層があるとすれば、エピタキシャル層より速い速度で除去される。エッチングプロセスの継続時間は、堆積プロセスの継続時間と釣り合いがとられて、基板の所望のエリア上に選択的に形成されたエピタキシャル層の正味の堆積が得られる。それ故、堆積プロセスとエッチングプロセスの正味の結果は、多結晶シリコン含有物質の成長があるとすれば最小にしつつ、選択的に且つエピタキシャル的に成長したシリコン含有物質を形成することである。 [0045] The etching process removes silicon-containing material from the deposited substrate surface during deposition. The etching process removes both epitaxial or single crystalline material and amorphous or polycrystalline material. If there is a polycrystalline layer deposited on the substrate surface, it is removed at a faster rate than the epitaxial layer. The duration of the etching process is balanced with the duration of the deposition process, resulting in a net deposition of the epitaxial layer selectively formed on the desired area of the substrate. Therefore, the net result of the deposition and etching process is to form a selectively and epitaxially grown silicon-containing material while minimizing any growth of the polycrystalline silicon-containing material.
[0046]エッチング中、基板は、約10秒〜約90秒、例えば、約20秒〜約60秒、より詳しくは30秒〜約45秒間の範囲の時間、エッチングガスに曝される。エッチングガスは、少なくとも一つのエッチング剤とキャリヤガスとを含む。エッチング剤は、通常は約10sccm〜約700sccm、例えば、約50sccm〜約500sccm、より詳しくは約100sccm〜400sccm、例えば、約200sccmの範囲の速度でプロセスチャンバへ供給される。エッチングガスに用いられるエッチング剤としては、塩素(Cl2)、塩化水素(HCl)、三塩化ホウ素(BCl3)、四塩化炭素(CCl4)、三フッ化塩素(ClF3)及びそれらの組合わせが挙げられるのがよい。 [0046] During etching, the substrate is exposed to the etching gas for a time ranging from about 10 seconds to about 90 seconds, such as from about 20 seconds to about 60 seconds, more particularly from 30 seconds to about 45 seconds. The etching gas includes at least one etchant and a carrier gas. The etchant is typically supplied to the process chamber at a rate in the range of about 10 sccm to about 700 sccm, such as about 50 sccm to about 500 sccm, and more particularly about 100 sccm to 400 sccm, such as about 200 sccm. Etching agents used for the etching gas include chlorine (Cl 2 ), hydrogen chloride (HCl), boron trichloride (BCl 3 ), carbon tetrachloride (CCl 4 ), chlorine trifluoride (ClF 3 ), and combinations thereof. It is good to mention a combination.
[0047]エッチング剤は、通常はキャリヤガスと共にプロセスチャンバへ供給される。キャリヤガスは、約1slm〜約100slm、例えば、約5slm〜約75slm、より詳しくは10slm〜約50slm、例えば、25slmの範囲の流量を有する。キャリヤガスとしては、窒素(N2)、水素(H2)、アルゴン、ヘリウム及びそれらの組合わせが挙げられるのがよい。幾つかの実施形態において、不活性キャリヤガスが用いられ、窒素、アルゴン、ヘリウム及びそれらの組合わせが挙げられる。キャリヤガスは、一つ又は複数の個々の前駆物質及び/又はエピタキシャルプロセス中に用いられる温度に基づいて選択されるのがよい。 [0047] The etchant is typically supplied to the process chamber along with a carrier gas. The carrier gas has a flow rate ranging from about 1 slm to about 100 slm, such as from about 5 slm to about 75 slm, more particularly from 10 slm to about 50 slm, such as 25 slm. Carrier gases may include nitrogen (N 2 ), hydrogen (H 2 ), argon, helium and combinations thereof. In some embodiments, an inert carrier gas is used, including nitrogen, argon, helium, and combinations thereof. The carrier gas may be selected based on one or more individual precursors and / or temperatures used during the epitaxial process.
[0048]エッチングプロセスの終了後、一実施形態において、プロセスチャンバはパージガス又はキャリヤガスでフラッシュされるのがよく更に/又はプロセスチャンバは真空ポンプで排気されるのがよい。パージプロセス及び/又は排気プロセスは、余分な堆積ガス、反応副産物及び他の汚染物質を除去する。他の例において、一旦エッチングプロセスが終了すると、パージプロセスは用いられない。プロセスチャンバの圧力は、約50トール未満に、例えば、バージの間で約10トールに下げられるのがよく、ガス流量分布を調整するのがよい。 [0048] After completion of the etching process, in one embodiment, the process chamber may be flushed with a purge or carrier gas and / or the process chamber may be evacuated with a vacuum pump. The purge process and / or the exhaust process removes excess deposition gas, reaction byproducts and other contaminants. In another example, once the etching process is complete, the purge process is not used. The pressure in the process chamber may be lowered to less than about 50 Torr, for example, to about 10 Torr between barges, and the gas flow distribution may be adjusted.
[0049]エピタキシャル層や多結晶層の厚さは、一つ又はそれ以上の堆積と、エッチングと、所望によるパージステップのサイクルを行った後に決定することができる。所定の厚さが達成される場合には、エピタキシャルプロセスを終了させることができる。しかしながら、所定の厚さが達成されない場合には、堆積とエッチングは、所望の厚さが達成されるまでサイクルとして繰り返される。エピタキシャル層は、通常は約10オングストローム〜約2000オングストローム、詳しくは約100オングストローム〜約1500オングストローム、より詳しくは400オングストローム〜約1200オングストロームの範囲、例えば、約800オングストロームの厚さを持つように成長させる。多結晶層は、通常は、あるとすれば原子層から約500オングストロームの範囲の厚さで堆積される。エピタキシャルシリコン含有層又は多結晶シリコン含有層の所望の又は所定の厚さは、具体的な製造プロセスに特異的である。一例において、エピタキシャル層は所定の厚さに達することができるが、多結晶層は厚くなりすぎる。余分な多結晶層はさらにエッチングすることができる。 [0049] The thickness of the epitaxial layer or polycrystalline layer can be determined after one or more deposition, etching, and optionally purging cycles. If the predetermined thickness is achieved, the epitaxial process can be terminated. However, if the predetermined thickness is not achieved, the deposition and etching is repeated as a cycle until the desired thickness is achieved. The epitaxial layer is typically grown to have a thickness in the range of about 10 angstroms to about 2000 angstroms, specifically about 100 angstroms to about 1500 angstroms, more specifically 400 angstroms to about 1200 angstroms, for example, about 800 angstroms. . Polycrystalline layers are typically deposited with a thickness in the range of about 500 Angstroms, if any. The desired or predetermined thickness of the epitaxial silicon-containing layer or the polycrystalline silicon-containing layer is specific to the specific manufacturing process. In one example, the epitaxial layer can reach a predetermined thickness, but the polycrystalline layer becomes too thick. The excess polycrystalline layer can be further etched.
[0050]一例において、図2A-2Eに示されるように、ソース/ドレインエクステンションはMOSFETデバイス内に形成され、ここで、シリコン含有層は、基板の表面上にエピタキシャル的に且つ選択的に堆積される。図2Aは、基板230の表面へイオンを注入することによって形成されたソース/ドレイン領域232を示す図である。ソース/ドレイン領域232のセグメントは、ゲート酸化物層235とスペーサ234上に形成されたゲート236によって架橋される。ソース/ドレインエクステンションを形成するために、ソース/ドレイン領域232の一部は、図2Bのように凹部238を得るようにエッチングされ湿式洗浄される。ゲート236のエッチングは、ソース/ドレイン232部分をエッチングする前にハードマスクを堆積することによって回避することができる。
[0050] In one example, source / drain extensions are formed in a MOSFET device, as shown in FIGS. 2A-2E, where a silicon-containing layer is epitaxially and selectively deposited on the surface of the substrate. The FIG. 2A is a diagram showing source /
[0051]図2Cは、本明細書に記載されるエピタキシャルプロセスの一実施形態を示す図であり、シリコン含有エピタキシャル層240と所望による多結晶層242は、スペーサ234上に堆積させずに同時に且つ選択的に堆積される。多結晶層242は、所望により、堆積プロセスとエッチングプロセスを調整することによってゲート236上に形成される。或いは、多結晶層242は、エピタキシャル層240がソース/ドレイン領域232上に堆積されるにつれて、ゲート236から連続してエッチングされる。
[0051] FIG. 2C is a diagram illustrating one embodiment of an epitaxial process described herein in which a silicon-containing
[0052]他の例として、シリコン含有エピタキシャル層240と多結晶層242は、約1原子%〜約50原子%の範囲、例えば、約24原子%以下のゲルマニウム濃度を有するSiGe含有層である。種々の量のシリコンとゲルマニウムを含有する多層のSiGe含有層は、段階的な元素濃度を持つシリコン含有エピタキシャル層240を形成するように積み重ねられてもよい。例えば、第1SiGe層は、約15原子%〜約25原子%の範囲のゲルマニウム濃度で堆積されるのがよく、第2SiGe層は、約25原子%〜約35原子%の範囲のゲルマニウム濃度で堆積されるのがよい。
[0052] As another example, silicon-containing
[0053]他の例において、シリコン含有エピタキシャル層240と多結晶層242は、約200ppm〜約5原子%、詳しくは約3原子%以下、より詳しくは約1原子%〜約2原子%の範囲、例えば、約1.5原子%のカーボン濃度を有するSiC含有層である。他の実施形態において、シリコン含有エピタキシャル層240と多結晶シリコン層242は、約1原子%〜約50原子%、詳しくは約24原子%以下の範囲のゲルマニウム濃度と約200ppm〜約5原子%、詳しくは約3原子%以下、より詳しくは約1原子%〜約2原子%、例えば、約1.5原子%のカーボン濃度を有するSiGeC含有層である。
[0053] In other examples, the silicon-containing
[0054]Si、SiGe、SiC又はSiGeCを含有する多層は、シリコン含有エピタキシャル層240内に段階的元素濃度を形成するために種々の順序で堆積させることができる。シリコン含有層は、一般的には約1×1019原子/cm3〜約2.5×1021原子/cm3、詳しくは約5×1019原子/cm3〜約2×1020原子/cm3の範囲の濃度を有するドーパント(例えば、ホウ素、ヒ素、リン、ガリウム又はアルミニウム)でドープされる。シリコン含有物質の個々の層に加えられるドーパントは段階的ドーパントを形成する。例えば、シリコン含有エピタキシャル層240は、約5×1019原子/cm3〜約1×1020原子/cm3の範囲のドーパント濃度(例えば、ホウ素)を有する第1SiGe含有層と約1×1020原子/cm3〜約2×1020原子/cm3の範囲のドーパント濃度(例えば、ホウ素)を有する第2SiGe含有層の堆積によって形成される。
[0054] Multilayers containing Si, SiGe, SiC, or SiGeC can be deposited in various orders to form graded elemental concentrations within the silicon-containing
[0055]SiC含有層とSiGeC含有層に組み込まれたカーボンは、一般的には、シリコン含有層の堆積の直後の結晶格子の格子間部位に位置する。格子間カーボン含量は、約10原子%以下、例えば、約5原子%以下、より詳しくは約1原子%〜約3原子%、例えば約2原子%である。シリコン含有エピタキシャル層240は、結晶格子の置換部位へ全部でない場合には少なくとも一部の格子間カーボンを組み込むようにアニールすることができる。アニールプロセスは、酸素、窒素、水素、アルゴン、ヘリウム又はそれらの組合せのようなガス雰囲気において急速熱プロセス(RTP)のようなスパイクアニール、レーザアニール又は熱アニールを含むことができる。アニールプロセスは、シリコン含有層が堆積された直後又は基板が耐える種々の他のプロセスステップ直後に行うことができる。
[0055] The carbon incorporated in the SiC-containing layer and the SiGeC-containing layer is generally located at an interstitial site in the crystal lattice immediately after deposition of the silicon-containing layer. The interstitial carbon content is about 10 atomic percent or less, such as about 5 atomic percent or less, more specifically about 1 atomic percent to about 3 atomic percent, such as about 2 atomic percent. The silicon-containing
[0056]次のステップ中、図2Dは、スペーサ244、一般的にはスペーサ234上に堆積された窒化物スペーサ(例えば、Si3N4)を示す図である。スペーサ244は、通常は、CVD又はALD技術によって異なるチャンバで堆積される。それ故、基板は、シリコン含有エピタキシャル層240を堆積するために用いたプロセスチャンバから取り出される。二つのチャンバ間の搬送中、基板は周囲条件、例えば、温度、圧力又は水と酸素を含有する大気に曝されてしまう。スペーサ244を堆積させるか又は他の半導体プロセス(例えば、アニール、堆積又は注入)を行う際に、より高い層248を堆積させる前に基板を二度目の周囲条件に曝してしまう。一実施形態において、未変性酸化物が、約5原子%より大きいゲルマニウム濃度で形成されたエピタキシャル層からより、最小ゲルマニウム濃度を含有するエピタキシャル層から除去しやすいので、ゲルマニウムのない又は最小限(例えば、約5原子%未満)のエピタキシャル層(図示せず)を基板を周囲温度に曝す前にエピタキシャル層240の最上部上に堆積させる。
[0056] During the next step, FIG. 2D shows a
[0057]図2Eは、シリコン含有物質から構成されるより高い層248が、エピタキシャル層240(例えば、SiGeドープされた)上に選択的に且つエピタキシャル的に堆積されている他の例を示す図である。堆積プロセス中、多結晶層242は、ゲート236上で更に成長、堆積又はエッチングされる。
[0057] FIG. 2E illustrates another example in which a
[0058]一実施形態において、より高い層248は、ほとんど又は全くゲルマニウム又はカーボンを含有しないシリコンをエピタキシャル的に堆積させる。しかしながら、代替的実施形態において、より高い層はやはりゲルマニウム及び/又はカーボンを含有する。例えば、より高い層248は約5原子%以下のゲルマニウムを有するのがよい。他の例において、より高い層248は約2原子%以下のカーボンを有するのがよい。より高い層248は、ホウ素、ヒ素、リン、アルミニウム又はガリウムのようなドーパントでドープされてもよい。
[0058] In one embodiment, the
[0059]シリコン含有化合物は、バイポーラデバイス製造(例えば、ベース、エミッタ接続)、BiCMOSデバイス製造(例えば、ベース、エミッタ接続)及びCMOSデバイス製造(例えば、チャネル、ソース/ドレイン、ソース/ドレインエクステンション、高ソース/ドレイン、基板、ひずみシリコン、絶縁体上のシリコン及びコンタクトプラグ)に用いられるシリコン含有層を堆積させるプロセスの実施形態の範囲内で用いられる。プロセスの他の実施形態は、ゲート、ベース接続、コレクタ接続、エミッタ接続、高ソース/ドレイン及び他の使用として用い得るシリコン含有層の成長を教示する。 [0059] Silicon-containing compounds are used in bipolar device manufacturing (eg, base, emitter connection), BiCMOS device manufacturing (eg, base, emitter connection) and CMOS device manufacturing (eg, channel, source / drain, source / drain extension, high Source / drain, substrate, strained silicon, silicon on insulator and contact plug) are used within the scope of process embodiments for depositing silicon-containing layers. Other embodiments of the process teach the growth of silicon-containing layers that can be used for gate, base connection, collector connection, emitter connection, high source / drain and other uses.
[0060]プロセスは、図3A-図3Cに示されるMOSFETやバイポーラトランジスタにおいて選択的エピタキシャル的シリコン含有層を堆積させるのに極めて有用である図3A-図3Bは、MOSFETデバイス上にエピタキシャル的に成長したシリコン含有化合物を示す図である。シリコン含有化合物は、デバイスのソース/ドレイン特徴部上に堆積される。シリコン含有化合物は、接着し、下にある層の結晶格子から成長し、シリコン含有化合物が所望の厚さに成長されるにつれて、この配置を維持する。図3Aは、凹部ソース/ドレイン層として堆積されたシリコン含有化合物を示す図であり、図3Bは、凹部ソース/ドレイン層とより高いソース/ドレイン層として堆積されたシリコン含有化合物を示す図である。 [0060] The process is extremely useful for depositing selective epitaxial silicon-containing layers in the MOSFETs and bipolar transistors shown in FIGS. 3A-3C. FIGS. 3A-3B are epitaxially grown on MOSFET devices. It is a figure which shows the silicon-containing compound which was made. A silicon-containing compound is deposited on the source / drain features of the device. The silicon-containing compound adheres and grows from the underlying layer's crystal lattice and maintains this configuration as the silicon-containing compound is grown to the desired thickness. FIG. 3A illustrates a silicon-containing compound deposited as a recessed source / drain layer, and FIG. 3B illustrates a silicon-containing compound deposited as a recessed source / drain layer and a higher source / drain layer. .
[0061]ソースドレイン領域312は、イオン注入によって形成される。一般に、基板310は、n形にドープされ、ソース/ドレイン領域312はp形にドープされる。シリコン含有エピタキシャル層313は、ソース/ドレイン領域312及び/又は基板310の真上に選択的に成長する。シリコン含有エピタキシャル層314は、本明細書の様態に従ってシリコン含有層313上に選択的に成長する。ゲート酸化物層318は、セグメントのシリコン含有層313を架橋する。一般に、ゲート酸化物層318は、二酸化シリコン、酸窒化シリコン又は酸化ハフニウムから構成される。スペーサ316は、通常は窒化物/酸化物スタック(例えば、Si3N4/SiO2/Si3N4)のような絶縁物質であるゲート酸化物層318を部分的に取り囲んでいる。ゲート層322(例えば、多結晶シリコン)は、図3Aのように垂直の側面に沿った二酸化シリコンのような保護層319を持ってもよい。或いは、ゲート層322は、スペーサ316と両側に配置されたオフセット層320(例えば、Si3N4)を持ってもよい。
[0061] The source /
[0062]他の例において、図3Cは、バイポーラトランジスタのベース層として堆積されたシリコン含有エピタキシャル層334を示す図である。シリコン含有エピタキシャル層334は、本発明の種々の実施形態において選択的に成長する。シリコン含有エピタキシャル層334は、基板330上に以前に堆積したn形コレクタ層332上に堆積される。トランジスタは、更に、絶縁層333(例えば、SiO2又はSi3N4)と、コンタクト層336(例えば、多量にドープされた多結晶Si)と、オフセット層338(Si3N4)と、第2絶縁層340(SiO2又はSi3N4)を含む。
[0062] In another example, FIG. 3C shows a silicon-containing
[0063]発明の実施形態は、種々の基板上にシリコン含有化合物を堆積させるプロセスを教示する。本発明の実施形態が有用なものである基板としては、結晶シリコン(例えば、Si<100>及びSi<111>)、酸化シリコン、シリコンゲルマニウム、ドープされた又はドープされていないウエハ及びパターン形成された又はパターン形成されていないウエハのような半導体ウエハが挙げられるがこれらに限定されない。基板は、種々の形状(例えば、円形、正方形、矩形)及びサイズ(例えば、OD200mm、OD300mmOD)を持つ。 [0063] Embodiments of the invention teach processes for depositing silicon-containing compounds on various substrates. Substrates for which embodiments of the present invention are useful include crystalline silicon (eg, Si <100> and Si <111>), silicon oxide, silicon germanium, doped or undoped wafers and patterned substrates. Also, but not limited to, semiconductor wafers such as unpatterned wafers. The substrate has various shapes (for example, circular, square, rectangular) and sizes (for example, OD 200 mm, OD 300 mm OD).
[0064]一実施形態において、本明細書に記載されるプロセスによって堆積されたシリコン含有化合物は、約0原子%〜約95原子%の範囲のゲルマニウム濃度を含む。他の実施形態において、ゲルマニウム濃度は、約1原子%〜約30原子%、好ましくは約15原子%〜約30原子%の範囲にあり、例えば、約20原子%である。シリコン含有化合物もまた、約0原子%〜約5原子%の範囲のカーボン濃度を含む。他の様態において、カーボン濃度は、約200ppm〜約3原子%の範囲にあり、好ましくは約1.5原子%である。 [0064] In one embodiment, the silicon-containing compound deposited by the processes described herein comprises a germanium concentration in the range of about 0 atomic% to about 95 atomic%. In other embodiments, the germanium concentration ranges from about 1 atomic percent to about 30 atomic percent, preferably from about 15 atomic percent to about 30 atomic percent, for example, about 20 atomic percent. The silicon-containing compound also includes a carbon concentration in the range of about 0 atomic percent to about 5 atomic percent. In other embodiments, the carbon concentration is in the range of about 200 ppm to about 3 atomic percent, preferably about 1.5 atomic percent.
[0065]ゲルマニウム及び/又はカーボンのシリコン含有化合物膜は、本発明の種々のプロセスによって製造され、一貫性、散在性又は段階的の元素濃度を持ち得る。段階的シリコンゲルマニウム膜は、いずれもアプライドマテリアルズ社に譲渡された米国特許第6,770,134号と米国特許公開第20020174827号として公開された米国特許出願第10/014,466号に開示され、段階的シリコン含有化合物膜を堆積させる方法を記載するために全体で本明細書に援用されている。一例において、シリコンソース(例えば、SiH4)とゲルマニウムソース(例えば、GeH4)は、シリコンゲルマニウム含有膜を選択的に且つエピタキシャル的に堆積させるために用いられる。この例において、シリコンソースとゲルマニウムソースの比は、段階的膜を成長させつつ、シリコンやゲルマニウムのような元素濃度の制御を供給するために変えることができる。他の例において、シリコンソースとカーボンソース(例えば、CH3SiH3)は、シリコンカーボン含有膜を選択的に且つエピタキシャル的に堆積させるように用いられる。シリコンソースとカーボンソースの比は、一様な又は段階的な膜を成長させつつ、元素濃度を制御するために変えることができる。他の例において、シリコンソースとゲルマニウムソースとカーボンソースは、シリコンゲルマニウムカーボン含有膜を選択的に且つエピタキシャル的に堆積させるために用いられる。シリコンソースとゲルマニウムソースとカーボンソースの比は、一様な又は段階的な膜を成長させつつ、元素濃度を制御するために独立して変えられる。 [0065] Germanium and / or carbon silicon-containing compound films are manufactured by the various processes of the present invention and may have consistent, scattered or graded elemental concentrations. Graded silicon germanium films are disclosed in U.S. Patent Application No. 10 / 014,466, published as Applied Materials, Inc., U.S. Patent No. 6,770,134 and U.S. Patent Publication No. 200201774827. Which is incorporated herein in its entirety to describe a method of depositing a graded silicon-containing compound film. In one example, a silicon source (eg, SiH 4 ) and a germanium source (eg, GeH 4 ) are used to selectively and epitaxially deposit a silicon germanium-containing film. In this example, the ratio of silicon source to germanium source can be varied to provide control of elemental concentrations such as silicon and germanium while growing graded films. In other examples, a silicon source and a carbon source (eg, CH 3 SiH 3 ) are used to selectively and epitaxially deposit a silicon carbon-containing film. The ratio of silicon source to carbon source can be varied to control the element concentration while growing a uniform or graded film. In another example, a silicon source, a germanium source, and a carbon source are used to selectively and epitaxially deposit a silicon germanium carbon-containing film. The ratio of silicon source, germanium source and carbon source can be varied independently to control the element concentration while growing a uniform or graded film.
[0066]本明細書に記載されるプロセスによって形成されたMOSFETデバイスは、PMOS要素又はNMOS要素を含有することができる。p形チャネルを有するPMOS要素は、チャネル伝導に関与する正孔を持ち、n形チャネルを有するNMOS要素はチャネル伝導に関与する電子を持つ。それ故、例えば、SiGeのようなシリコン含有物質は、PMOS要素を形成するために凹部領域に堆積させることができる。他の例において、SiCのようなシリコン含有膜は、NMOS要素を形成するために凹部領域に堆積させることができる。SiGe物質は、幾つかの理由でPMOS応用に用いられる。SiGe物質は、シリコンのみより多くのホウ素を組み込み、それにより接合抵抗は低くなることがある。また、基板表面でのSiGe/シリサイド層接合面は、Si/シリサイド接合面よりもショットキーバリヤが低い。 [0066] MOSFET devices formed by the processes described herein can contain PMOS or NMOS elements. A PMOS element having a p-type channel has holes that participate in channel conduction, and an NMOS element having an n-type channel has electrons that participate in channel conduction. Thus, for example, a silicon-containing material such as SiGe can be deposited in the recessed region to form a PMOS element. In other examples, a silicon-containing film, such as SiC, can be deposited in the recessed area to form an NMOS element. SiGe materials are used in PMOS applications for several reasons. SiGe materials may incorporate more boron than silicon alone, thereby lowering the junction resistance. Further, the SiGe / silicide layer interface on the substrate surface has a lower Schottky barrier than the Si / silicide interface.
[0067]更に、シリコンの最上面上にエピタキシャル的に成長したSiGeは、SiGeの格子定数がシリコンより大きいことから膜内に圧縮応力を持つ。圧縮応力を横方向に移して、PMOSチャネルにおける圧縮ひずみを形成するとともにホールの移動度を増加させる。NMOS応用にについて、SiCの格子定数がシリコンより小さいので、チャネル内に引張応力を生じるようにSiCを凹部領域に使用し得る。引張応力は、チャネルに移され、電子移動度を増加させる。それ故、一実施形態において、第1シリコン含有層は第1格子ひずみ値で形成され、第2シリコン含有層は第2格子ひずみ値で形成される。例えば、厚さが約50オングストローム〜約200オングストロームのSiC層は、基板表面上に堆積され、連続して、厚さが約150オングストローム〜約1000オングストロームのSiGe層をSiC層上に堆積させる。SiC層は、エピタキシャル的に成長させることができ、SiC層上にエピタキシャル的に成長したSiGeよりひずみが小さい。 [0067] Furthermore, SiGe epitaxially grown on the top surface of silicon has compressive stress in the film because the lattice constant of SiGe is larger than that of silicon. The compressive stress is shifted laterally to form compressive strain in the PMOS channel and increase hole mobility. For NMOS applications, since the lattice constant of SiC is smaller than silicon, SiC can be used in the recessed region to create tensile stress in the channel. Tensile stress is transferred to the channel, increasing electron mobility. Thus, in one embodiment, the first silicon-containing layer is formed with a first lattice strain value and the second silicon-containing layer is formed with a second lattice strain value. For example, a SiC layer having a thickness of about 50 angstroms to about 200 angstroms is deposited on the substrate surface, and a SiGe layer having a thickness of about 150 angstroms to about 1000 angstroms is successively deposited on the SiC layer. The SiC layer can be grown epitaxially and has less strain than SiGe epitaxially grown on the SiC layer.
[0068]本明細書に記載される実施形態において、シリコン含有化合物膜は、化学気相堆積(CVD)プロセスによって選択的に且つエピタキシャル的に堆積される。化学気相堆積プロセスには、原子層堆積(ALD)プロセス及び/又は原子層エピタキシー(ALE)プロセスが含まれる。化学気相堆積には、プラズマ援助CVD(PA-CVD)、原子層CVD(ALCVD)、有機金属又は金属有機CVD(OMCVD又はMOCVD)、レーザ援助CVD(LA-CVD)、紫外光CVD(UV-CVD)、ホットワイヤCVD(HWCVD)、減圧CVD(RP-CVD)、超高真空CVD(UHV-CVD)等の多くの技術の使用が含まれる。一実施形態において、好ましいプロセスは、シリコン含有化合物をエピタキシャル的に成長又は堆積させるために熱CVDを用いることであるが、シリコン含有化合物としては、シリコン、SiGe、SiC、SiGeC、それらのドープされた変形体及びそれらの組合せが挙げられる。 [0068] In the embodiments described herein, the silicon-containing compound film is selectively and epitaxially deposited by a chemical vapor deposition (CVD) process. Chemical vapor deposition processes include atomic layer deposition (ALD) processes and / or atomic layer epitaxy (ALE) processes. Chemical vapor deposition includes plasma assisted CVD (PA-CVD), atomic layer CVD (ALCVD), organometallic or metal organic CVD (OMCVD or MOCVD), laser assisted CVD (LA-CVD), ultraviolet light CVD (UV- This includes the use of many techniques such as CVD), hot wire CVD (HWCVD), reduced pressure CVD (RP-CVD), ultra high vacuum CVD (UHV-CVD) and the like. In one embodiment, the preferred process is to use thermal CVD to epitaxially grow or deposit silicon-containing compounds, but silicon-containing compounds include silicon, SiGe, SiC, SiGeC, and their doped Variations and combinations thereof may be mentioned.
[0069]本発明のプロセスは、ALE、CVD及びALDの技術において既知の装置で行うことができる。装置は、プロセスチャンバに入る前に分離された堆積ガスとエッチングガスを維持するために複数のガスラインを含有することができる。その後、ガスは、シリコン含有化合物膜が成長する加熱された基板と接触する。シリコン含有膜を堆積させるために使用し得るハードウエアとしては、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できるEpiCentura.RTM.システムやPoly Gen(登録商標)システムが挙げられる。ALD装置は、2001年12月21日出願の米国特許公開第20030079686号として公開され、アプライドマテリアルズ社に譲渡され、“GasDelivery Apparatus and Methods for ALD”と称する米国特許出願第10/032,284号に開示され、装置を記載するために本明細書に全体で援用されている。 [0069] The process of the present invention can be performed in equipment known in the ALE, CVD and ALD arts. The apparatus may contain multiple gas lines to maintain the deposition gas and etch gas separated prior to entering the process chamber. Thereafter, the gas contacts the heated substrate on which the silicon-containing compound film is grown. Hardware that can be used to deposit a silicon-containing film includes the EpiCentura.RTM. System and the Poly Gen® system available from Applied Materials, Inc., located in Santa Clara, California. The ALD apparatus was published as U.S. Patent Publication No. 20030079686, filed Dec. 21, 2001, assigned to Applied Materials, and U.S. Patent Application No. 10 / 032,284, entitled "GasDelivery Apparatus and Methods for ALD". And is hereby incorporated by reference in its entirety to describe the apparatus.
[0070]いかなる方法によっても本発明を制限することなく、以下の実施例によって本発明をより充分に記載する。 [0070] The present invention is more fully described by the following examples, without limiting the invention in any way.
実施例1
[0071]凹部構造を持つ基板と凹部構造を持たない基板の二タイプのパターン形成基板へのシリコンとSiGeの選択的エピタキシー。各タイプの基板を、AccusettTM計量バルブを持つEPICentura RP処理チャンバに挿入した。SiGe層を、従来のコフロープロセスを用いるその後の選択的シリコン堆積に“マーカー層”として使用するように選択的に堆積させた。シリコン堆積のためのプロセス条件は以下の通りであった:堆積圧力は10トールであり、シランを50sccmで流し、ジクロロシランを15sccmで流し、水素キャリヤガスを5SLMで流した。上で定義されたI/O比は、計量バルブを用いて100/250に設定した。堆積を750℃で5秒間行った。エッチングステップを行った。プロセスチャンバ圧を約100トールに上げ、HClエッチング剤を5SLMの水素キャリヤガスとともに650sccmで流し、ガスは760℃で6.5秒間250/100のI/O比で流した。その後、圧力を10トールに750℃で10秒間減じ、水素パージガスを100/250のI/O比で流すことによって、プロセスチャンバをパージした。堆積、エッチング、パージのこのようなシーケンスを17回繰り返した。
Example 1
[0071] Selective epitaxy of silicon and SiGe into two types of patterned substrates: a substrate with a recessed structure and a substrate without a recessed structure. Each type of substrate was inserted into an EPICentura RP processing chamber with Accusett ™ metering valve. The SiGe layer was selectively deposited for use as a “marker layer” for subsequent selective silicon deposition using a conventional coflow process. The process conditions for silicon deposition were as follows: the deposition pressure was 10 torr, silane was flowed at 50 sccm, dichlorosilane was flowed at 15 sccm, and hydrogen carrier gas was flowed at 5 SLM. The I / O ratio defined above was set to 100/250 using a metering valve. Deposition was performed at 750 ° C. for 5 seconds. An etching step was performed. The process chamber pressure was raised to about 100 Torr, HCl etchant was flowed at 650 sccm with 5 SLM hydrogen carrier gas, and the gas was flowed at 760 ° C. for 6.5 seconds with an I / O ratio of 250/100. The process chamber was then purged by reducing the pressure to 10 Torr at 750 ° C. for 10 seconds and flowing hydrogen purge gas at an I / O ratio of 100/250. This sequence of deposition, etching and purging was repeated 17 times.
[0072]選択的シリコン膜は、低密度パターンの凹部を持つ基板の一部に74オングストローム/分の速度で形状が滑らかでファセットがなく巧く成長した。同じプロセスを用いて、高密度パターンの凹部を持つ基板の領域に91オングストローム毎分の成長速度が得られた。これらの成長速度と膜特性は、エッチングガスと堆積ガスを同時に流す従来の方法を用いて800℃の温度で得られる結果に匹敵する。プロセスパラメータの制御によって、より低いプロセス圧で高成長速度が得られた。 [0072] Selective silicon films were successfully grown on a portion of a substrate with low density pattern of recesses at a rate of 74 angstroms / minute with a smooth shape and no facets. Using the same process, a growth rate of 91 angstroms per minute was obtained in a region of the substrate having a high density pattern of recesses. These growth rates and film properties are comparable to results obtained at a temperature of 800 ° C. using conventional methods of flowing an etching gas and a deposition gas simultaneously. By controlling the process parameters, a high growth rate was obtained at a lower process pressure.
実施例2
[0073]例1のプロセス条件を、高密度の凹部を持つ基板上に繰り返した。堆積の間、処理チャンバの圧力を5トールに維持し、エッチングの間、圧力を70トールに上げた。パージの間、圧力を5トールに減じた。本実施例で得られた成長速度は、100オングストローム毎分を超えたが、顕微鏡を用いて膜を調べると、すみに小さいファセットプロファイルが示された。
Example 2
[0073] The process conditions of Example 1 were repeated on a substrate with a high density of recesses. During deposition, the process chamber pressure was maintained at 5 Torr and during etching the pressure was increased to 70 Torr. During the purge, the pressure was reduced to 5 Torr. The growth rate obtained in this example exceeded 100 angstroms per minute, but examination of the film using a microscope showed a small facet profile.
実施例3
[0074]実施例2のプロセス条件を、凹部パターン構造を持たない基板で繰り返したが、本実施例では、窒素をキャリヤガスとして用い、温度を700℃に下げた。得られた成長速度は35オングストローム/分であり、プロセスチャンバへエッチングガスと堆積ガスを同時に流す従来の方法を用いて観測された約12-15オングストローム/分の成長速度の約2倍である。
Example 3
[0074] The process conditions of Example 2 were repeated with a substrate having no concave pattern structure, but in this example, nitrogen was used as the carrier gas and the temperature was lowered to 700 ° C. The resulting growth rate is 35 angstroms / minute, approximately twice the growth rate observed using conventional methods of simultaneously flowing an etch gas and a deposition gas into the process chamber, about 12-15 angstroms / minute.
[0075]本明細書全体で“一実施形態”、“ある実施形態”、“一つ以上の実施形態”又は“実施形態”と述べることは、実施形態に関連して記載されている具体的な特徴、構造、物質、又は特性が本発明の少なくとも一実施形態に含まれていることを意味する。従って、本明細書全体の種々の場所で“一つ以上の実施形態において”、“ある実施形態において”、“一実施形態において”又は“実施形態において”のような語句の出現は、本発明の同じ実施形態を必ずしも意味しない。更に、具体的な特徴、構造、物質、又は特性は、一つ以上の実施形態においていかなる適切な方法でも組み合わせることができる。上記方法の説明の順序は限定とみなすべきでなく、方法は、順序から記載された操作を又は省略又は追加して用いることができる。 [0075] Reference throughout this specification to "one embodiment," "one embodiment," "one or more embodiments," or "embodiments" is specifically described in connection with the embodiments. Meaning any feature, structure, material, or characteristic is included in at least one embodiment of the invention. Thus, appearances of the phrases “in one or more embodiments,” “in an embodiment,” “in an embodiment,” or “in an embodiment” in various places throughout this specification are Does not necessarily imply the same embodiment. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. The order of description of the above methods should not be considered limiting, and the methods can be used with operations described or omitted or added from the order.
[0076]本明細書の本発明を具体的な実施形態によって記載してきたが、これらの実施形態は、単に本発明の原理と応用を示していることは理解されるべきである。種々の修正や変更が、本発明の精神と範囲から逸脱することなく本発明の方法及び装置になされ得ることは当業者に明らかである。従って、本発明は、添付の特許請求の範囲及びそれらの同等物の範囲にある修正や変更を含むものである。 [0076] While the invention herein has been described by specific embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present invention without departing from the spirit and scope of the invention. Thus, it is intended that the present invention include modifications and variations that are within the scope of the appended claims and their equivalents.
100…プロセスチャンバ、110…基板、112…内部半径方向ゾーン、114…外部半径方向ゾーン、120…ガスソース、122…内部ゾーンガスコンジット、124、126…外部ゾーンガスコンジット、130…分配ポート、132…内部ゾーンポート、134、136…外部ゾーンポート、142…内部ゾーン計量バルブ、144…外部ゾーン計量バルブ、230…基板、232…ソース/ドレイン領域、234…スペーサ、235…ゲート酸化物層、236…ゲート、238…凹部、240…エピタキシャル層、242…多結晶層、244…スペーサ、248…より高い層、310…基板、312…ソース/ドレイン領域、313…エピタキシャル層、314…エピタキシャル層、316…スペーサ、318…ゲート酸化物層、320…オフセット層、322…ゲート層、330…基板、333…絶縁層、334…エピタキシャル層、336…コンタクト層、338…オフセット層、340…第2絶縁層。
DESCRIPTION OF
Claims (20)
a)単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、該プロセスチャンバが第1ゾーンと第2ゾーンとを含む前記ステップと;
b)該基板をシリコン含有堆積ガスに曝し、該プロセスチャンバの圧力を約50トール未満に維持して、該単結晶表面上にエピタキシャル層を、また、該誘電体表面上に第2物質を形成するステップと;
c)続いて、該プロセスチャンバへの堆積ガス流を停止し、該プロセスチャンバの圧力を上げ、該基板をエッチングガスに曝して、相対的に高いエッチングガス分圧を維持するとともに第2物質をエッチングするステップと;
d)続いて、該プロセスチャンバへのエッチングガス流を停止し、該プロセスチャンバへパージガスを流すステップと;
e)ステップb)、c)、d)を順次少なくとも一回繰り返すステップと;
を含む前記方法。 A method of selectively and epitaxially forming a silicon-containing material on a substrate surface,
a) placing a substrate comprising a single crystal surface and at least a dielectric surface into a process chamber, said process chamber comprising a first zone and a second zone;
b) exposing the substrate to a silicon-containing deposition gas and maintaining the process chamber pressure below about 50 Torr to form an epitaxial layer on the single crystal surface and a second material on the dielectric surface A step to do;
c) Subsequently, the flow of the deposition gas to the process chamber is stopped, the pressure of the process chamber is increased, the substrate is exposed to an etching gas, and a relatively high etching gas partial pressure is maintained and the second material is removed. Etching step;
d) subsequently stopping the flow of etching gas to the process chamber and flowing purge gas to the process chamber;
e) repeating steps b), c) and d) at least once in sequence;
Including said method.
単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、該プロセスチャンバが第1ガス流ゾーンと第2ガス流ゾーンとを含む、前記ステップと;
シリコン含有堆積ガスを第1圧力で該プロセスチャンバへ、また、該第1ゾーンと第2ゾーンへ流すステップであって、該第1ゾーンと該第2ゾーンとの堆積ガス流比が1未満である、前記ステップと;
続いて、該プロセスチャンバへの堆積ガス流を停止し、該プロセスチャンバの圧力を第2圧力に上げ、エッチングガスをプロセスチャンバの該内部半径方向ゾーンと外部半径方向ゾーンへ1を超える該第1ゾーンガス流と第2ゾーンガス流とのエッチングガス流比で流すステップと;
続いて、該プロセスチャンバへのエッチングガス流を停止し、該プロセスチャンバへパージガス流を流すステップと;
該堆積ガスを流し、該エッチングガスを流し、該パージガスを流す連続ステップを、所望の厚さを有するシリコン含有物質が形成されるまで少なくとも一回繰り返すステップと;
を含む前記方法。 A method of selectively and epitaxially forming a silicon-containing material on a substrate surface,
Placing a substrate comprising a single crystal surface and at least a dielectric surface into a process chamber, said process chamber comprising a first gas flow zone and a second gas flow zone;
Flowing a silicon-containing deposition gas into the process chamber at a first pressure and into the first zone and the second zone, wherein the deposition gas flow ratio between the first zone and the second zone is less than 1; And said step;
Subsequently, the deposition gas flow to the process chamber is stopped, the pressure of the process chamber is increased to a second pressure, and an etching gas is passed to the inner radial zone and the outer radial zone of the process chamber beyond the first. Flowing at an etching gas flow ratio between the zone gas flow and the second zone gas flow;
Subsequently, stopping the flow of etching gas to the process chamber and flowing the purge gas flow to the process chamber;
Repeating the continuous steps of flowing the deposition gas, the etching gas, and the purge gas at least once until a silicon-containing material having a desired thickness is formed;
Including said method.
単結晶表面と少なくとも誘電体表面を備える基板をプロセスチャンバへ配置するステップであって、該プロセスチャンバが第1ガス流ゾーンと第2ガス流ゾーンとを含む前記ステップと;
エッチングガスが該プロセスチャンバへ流されていない該プロセスチャンバへシリコン含有ガスを流す工程を含む堆積ステップを行うステップと;
シリコン含有ガスが該プロセスチャンバへ流されていない該プロセスチャンバへエッチングガスを流す工程を含むエッチングステップを行うステップと;
パージガスが流されるパージステップを行うステップであって、単一プロセスサイクルが堆積ステップとエッチングステップとパージステップとを含み、該プロセスサイクルを少なくとも一回繰り返し、ガスを該第1ゾーンと第2ゾーンに流して、該堆積ステップとエッチングステップとパージステップのそれぞれの間の該プロセスチャンバの圧力と該第1ゾーンと第2ゾーンとのガス流比を得、該プロセスチャンバの圧力の少なくとも一つと該ガス流比が該堆積ステップと該エッチングステップの間で異なる、前記ステップと;
を含む前記方法。 A method of selectively and epitaxially forming a silicon-containing material on a substrate surface,
Placing a substrate comprising a single crystal surface and at least a dielectric surface into a process chamber, said process chamber comprising a first gas flow zone and a second gas flow zone;
Performing a deposition step comprising flowing a silicon-containing gas into the process chamber where no etching gas is flowed into the process chamber;
Performing an etching step comprising flowing an etching gas into the process chamber where no silicon-containing gas is flowed into the process chamber;
Performing a purge step in which a purge gas is flowed, wherein a single process cycle includes a deposition step, an etching step, and a purge step, the process cycle being repeated at least once to pass gas to the first zone and the second zone Flow to obtain a gas flow ratio of the process chamber pressure and the first zone to the second zone during each of the deposition step, the etch step, and the purge step, and at least one of the process chamber pressure and the gas Said step wherein the flow ratio is different between said deposition step and said etching step;
Including said method.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/669,550 US9064960B2 (en) | 2007-01-31 | 2007-01-31 | Selective epitaxy process control |
US11/669,550 | 2007-01-31 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2008205454A true JP2008205454A (en) | 2008-09-04 |
JP5115970B2 JP5115970B2 (en) | 2013-01-09 |
Family
ID=39668471
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008019367A Expired - Fee Related JP5115970B2 (en) | 2007-01-31 | 2008-01-30 | Selective epitaxy process control |
Country Status (4)
Country | Link |
---|---|
US (1) | US9064960B2 (en) |
JP (1) | JP5115970B2 (en) |
KR (1) | KR100941545B1 (en) |
TW (2) | TWI400745B (en) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102114857B1 (en) * | 2018-11-15 | 2020-05-25 | 연세대학교 산학협력단 | Method of forming thin film selectively using atmospheric pressure plasma |
JPWO2023054334A1 (en) * | 2021-09-30 | 2023-04-06 |
Families Citing this family (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7897495B2 (en) * | 2006-12-12 | 2011-03-01 | Applied Materials, Inc. | Formation of epitaxial layer containing silicon and carbon |
US9064960B2 (en) * | 2007-01-31 | 2015-06-23 | Applied Materials, Inc. | Selective epitaxy process control |
US7776698B2 (en) | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
DE102009004557B4 (en) * | 2009-01-14 | 2018-03-08 | Siltronic Ag | Epitaxial silicon wafer and process for producing epitaxially coated silicon wafers |
DE102009011622B4 (en) * | 2009-03-04 | 2018-10-25 | Siltronic Ag | Epitaxial silicon wafer and process for producing an epitaxially coated silicon wafer |
US9212420B2 (en) * | 2009-03-24 | 2015-12-15 | Tokyo Electron Limited | Chemical vapor deposition method |
DE102009022224B4 (en) | 2009-05-20 | 2012-09-13 | Siltronic Ag | Process for producing epitaxial silicon wafers |
CA2777687A1 (en) * | 2009-10-15 | 2011-04-21 | Arkema Inc. | Deposition of doped zno films on polymer substrates by uv-assisted chemical vapor deposition |
DE102012202099A1 (en) | 2012-02-13 | 2013-08-14 | Siltronic Ag | Method of cooling slices of semiconductor material |
US20160194753A1 (en) * | 2012-12-27 | 2016-07-07 | Showa Denko K.K. | SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM |
JP2017022368A (en) * | 2015-06-05 | 2017-01-26 | ラム リサーチ コーポレーションLam Research Corporation | Atomic layer etching of GaN and other III-V materials |
DE102015225663A1 (en) | 2015-12-17 | 2017-06-22 | Siltronic Ag | Process for the epitaxial coating of semiconductor wafers and semiconductor wafer |
KR101960763B1 (en) * | 2016-11-03 | 2019-03-21 | 주식회사 유진테크 | Method for manufacturing an epitaxial layer in low temperature |
CN108091588B (en) * | 2016-11-21 | 2019-05-31 | 北京北方华创微电子装备有限公司 | A kind of annealing process, processing chamber and annealing device |
US10504723B2 (en) | 2017-01-05 | 2019-12-10 | Applied Materials, Inc. | Method and apparatus for selective epitaxy |
US20220283029A1 (en) * | 2019-08-06 | 2022-09-08 | Applied Materials, Inc. | Methods for detection using optical emission spectroscopy |
US11996289B2 (en) * | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
CN111463115B (en) * | 2020-04-27 | 2022-05-13 | 中国电子科技集团公司第四十六研究所 | Preparation method of silicon epitaxial wafer for Schottky device |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH06232060A (en) * | 1992-12-11 | 1994-08-19 | Shin Etsu Handotai Co Ltd | Method and device for growing epitaxial silicon layer |
JP2002057115A (en) * | 2000-08-11 | 2002-02-22 | Samsung Electronics Co Ltd | Selective epitaxial growth method |
JP2005183514A (en) * | 2003-12-17 | 2005-07-07 | Hitachi Kokusai Electric Inc | Method of manufacturing semiconductor device |
JP2005294690A (en) * | 2004-04-02 | 2005-10-20 | Hitachi Kokusai Electric Inc | Method for manufacturing semiconductor device and substrate processing apparatus |
WO2006060543A2 (en) * | 2004-12-01 | 2006-06-08 | Applied Materials, Inc. | Use of cl2 and/or hcl during silicon epitaxial film formation |
Family Cites Families (56)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3650042A (en) * | 1969-05-19 | 1972-03-21 | Ibm | Gas barrier for interconnecting and isolating two atmospheres |
US3757733A (en) * | 1971-10-27 | 1973-09-11 | Texas Instruments Inc | Radial flow reactor |
US4976996A (en) * | 1987-02-17 | 1990-12-11 | Lam Research Corporation | Chemical vapor deposition reactor and method of use thereof |
US4900591A (en) * | 1988-01-20 | 1990-02-13 | The United States Of America As Represented By The Secretary Of The Air Force | Method for the deposition of high quality silicon dioxide at low temperature |
US5207835A (en) * | 1989-02-28 | 1993-05-04 | Moore Epitaxial, Inc. | High capacity epitaxial reactor |
US5177677A (en) * | 1989-03-08 | 1993-01-05 | Hitachi, Ltd. | Power conversion system |
US5186718A (en) * | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US5108792A (en) * | 1990-03-09 | 1992-04-28 | Applied Materials, Inc. | Double-dome reactor for semiconductor processing |
US5179677A (en) | 1990-08-16 | 1993-01-12 | Applied Materials, Inc. | Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity |
US5227330A (en) * | 1991-10-31 | 1993-07-13 | International Business Machines Corporation | Comprehensive process for low temperature SI epit axial growth |
KR100331569B1 (en) | 2000-05-30 | 2002-04-06 | 윤종용 | Method of manufacturing capacitor of semiconductor device having tantalum oxide dielectric film |
KR100224707B1 (en) * | 1995-12-23 | 1999-10-15 | 윤종용 | Method for manufacturing of semiconductor device capacitor |
KR100200705B1 (en) * | 1996-06-08 | 1999-06-15 | 윤종용 | Manufacture apparatus of semiconductor device, process condition of manufacture apparatus, method of manufacturing capacitor using the same |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5976261A (en) * | 1996-07-11 | 1999-11-02 | Cvc Products, Inc. | Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment |
US6083321A (en) * | 1997-07-11 | 2000-07-04 | Applied Materials, Inc. | Fluid delivery system and method |
US20050188923A1 (en) * | 1997-08-11 | 2005-09-01 | Cook Robert C. | Substrate carrier for parallel wafer processing reactor |
US6232196B1 (en) * | 1998-03-06 | 2001-05-15 | Asm America, Inc. | Method of depositing silicon with high step coverage |
US6829242B2 (en) * | 1998-06-30 | 2004-12-07 | Cisco Technology, Inc. | Method and apparatus for associating PVC identifiers with domain names of home gateways |
US6184154B1 (en) * | 1999-10-13 | 2001-02-06 | Seh America, Inc. | Method of processing the backside of a wafer within an epitaxial reactor chamber |
KR100363088B1 (en) * | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | Method of manufacturing barrier metal layer using atomic layer deposition method |
KR100332313B1 (en) * | 2000-06-24 | 2002-04-12 | 서성기 | Apparatus and method for depositing thin film on wafer |
US7405158B2 (en) * | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
KR100385947B1 (en) * | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | Method of forming thin film by atomic layer deposition |
US6428859B1 (en) * | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020104481A1 (en) * | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
US6949450B2 (en) * | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
JP4866534B2 (en) * | 2001-02-12 | 2012-02-01 | エーエスエム アメリカ インコーポレイテッド | Improved deposition method for semiconductor films. |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US6590344B2 (en) * | 2001-11-20 | 2003-07-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selectively controllable gas feed zones for a plasma reactor |
US6875271B2 (en) * | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US6803297B2 (en) * | 2002-09-20 | 2004-10-12 | Applied Materials, Inc. | Optimal spike anneal ambient |
US6897131B2 (en) * | 2002-09-20 | 2005-05-24 | Applied Materials, Inc. | Advances in spike anneal processes for ultra shallow junctions |
US7540920B2 (en) * | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US6998153B2 (en) * | 2003-01-27 | 2006-02-14 | Applied Materials, Inc. | Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma |
KR20050119662A (en) * | 2003-03-28 | 2005-12-21 | 코닌클리즈케 필립스 일렉트로닉스 엔.브이. | Method of epitaxial deposition of an n-doped silicon layer |
JP2005094690A (en) * | 2003-09-19 | 2005-04-07 | Canon Inc | Image forming apparatus |
US7132338B2 (en) * | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
KR100593736B1 (en) * | 2004-06-17 | 2006-06-28 | 삼성전자주식회사 | Methods of selectively forming an epitaxial semiconductor layer on a single crystal semiconductor and semiconductor devices manufactured using the same |
US7560352B2 (en) * | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7816236B2 (en) * | 2005-02-04 | 2010-10-19 | Asm America Inc. | Selective deposition of silicon-containing films |
KR100642646B1 (en) | 2005-07-08 | 2006-11-10 | 삼성전자주식회사 | Methods of selectively forming an epitaxial semiconductor layer using a ultra high vacuum chemical vapor deposition technique and batch-type ultra high vacuum chemical vapor deposition apparatus used therein |
US8021527B2 (en) * | 2005-09-14 | 2011-09-20 | Applied Materials, Inc. | Coaxial shafts for radial positioning of rotating magnetron |
KR20080089403A (en) * | 2005-12-22 | 2008-10-06 | 에이에스엠 아메리카, 인코포레이티드 | Epitaxial deposition of doped semiconductor materials |
WO2007112058A2 (en) * | 2006-03-24 | 2007-10-04 | Applied Materials, Inc. | Carbon precursors for use during silicon epitaxial firm formation |
US20070246354A1 (en) * | 2006-04-19 | 2007-10-25 | Maxim Integrated Products, Inc. | Plasma systems with magnetic filter devices to alter film deposition/etching characteristics |
US8278176B2 (en) * | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
US7648853B2 (en) * | 2006-07-11 | 2010-01-19 | Asm America, Inc. | Dual channel heterostructure |
JP5175285B2 (en) * | 2006-07-31 | 2013-04-03 | アプライド マテリアルズ インコーポレイテッド | Method for controlling morphology during epitaxial layer formation |
US8951351B2 (en) * | 2006-09-15 | 2015-02-10 | Applied Materials, Inc. | Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects |
US8852349B2 (en) * | 2006-09-15 | 2014-10-07 | Applied Materials, Inc. | Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects |
US7976634B2 (en) * | 2006-11-21 | 2011-07-12 | Applied Materials, Inc. | Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems |
US9064960B2 (en) * | 2007-01-31 | 2015-06-23 | Applied Materials, Inc. | Selective epitaxy process control |
-
2007
- 2007-01-31 US US11/669,550 patent/US9064960B2/en active Active
- 2007-12-28 KR KR1020070140826A patent/KR100941545B1/en not_active IP Right Cessation
-
2008
- 2008-01-08 TW TW097100734A patent/TWI400745B/en active
- 2008-01-08 TW TW102117768A patent/TWI512792B/en active
- 2008-01-30 JP JP2008019367A patent/JP5115970B2/en not_active Expired - Fee Related
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH06232060A (en) * | 1992-12-11 | 1994-08-19 | Shin Etsu Handotai Co Ltd | Method and device for growing epitaxial silicon layer |
JP2002057115A (en) * | 2000-08-11 | 2002-02-22 | Samsung Electronics Co Ltd | Selective epitaxial growth method |
JP2005183514A (en) * | 2003-12-17 | 2005-07-07 | Hitachi Kokusai Electric Inc | Method of manufacturing semiconductor device |
JP2005294690A (en) * | 2004-04-02 | 2005-10-20 | Hitachi Kokusai Electric Inc | Method for manufacturing semiconductor device and substrate processing apparatus |
WO2006060543A2 (en) * | 2004-12-01 | 2006-06-08 | Applied Materials, Inc. | Use of cl2 and/or hcl during silicon epitaxial film formation |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102114857B1 (en) * | 2018-11-15 | 2020-05-25 | 연세대학교 산학협력단 | Method of forming thin film selectively using atmospheric pressure plasma |
JPWO2023054334A1 (en) * | 2021-09-30 | 2023-04-06 | ||
JP7487407B2 (en) | 2021-09-30 | 2024-05-20 | 信越半導体株式会社 | Method for manufacturing epitaxial wafer |
Also Published As
Publication number | Publication date |
---|---|
US9064960B2 (en) | 2015-06-23 |
TW200834678A (en) | 2008-08-16 |
TWI400745B (en) | 2013-07-01 |
US20080182397A1 (en) | 2008-07-31 |
KR20080071891A (en) | 2008-08-05 |
JP5115970B2 (en) | 2013-01-09 |
TWI512792B (en) | 2015-12-11 |
KR100941545B1 (en) | 2010-02-10 |
TW201338021A (en) | 2013-09-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5115970B2 (en) | Selective epitaxy process control | |
US7598178B2 (en) | Carbon precursors for use during silicon epitaxial film formation | |
US7682940B2 (en) | Use of Cl2 and/or HCl during silicon epitaxial film formation | |
JP5303148B2 (en) | Selective epitaxy process with alternating gas supply | |
US7560352B2 (en) | Selective deposition | |
JP5808522B2 (en) | Formation of epitaxial layers containing silicon | |
KR101170210B1 (en) | A method of ultra-shallow junction formation using si film alloyed with carbon | |
JP2007537601A (en) | Method for fabricating MOSFET devices using a selective deposition process | |
JP2013531899A (en) | Selective epitaxy of SI-containing materials and substitutionally doped crystalline SI-containing materials | |
JP2013070055A (en) | Formation of in-situ phosphorus-doped epitaxial layer containing silicon and carbon |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101210 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20110125 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20111209 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120110 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120410 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120413 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120510 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120515 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120523 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120612 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120820 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20120911 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20120925 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20121010 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 5115970 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20151026 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |