Skip to content
View tmeissner's full-sized avatar
🏠
Home-Office
🏠
Home-Office

Sponsoring

@umarcor
@nickg

Organizations

@VHDL

Block or report tmeissner

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Beta Lists are currently in beta. Share feedback and report bugs.
Showing results

i2c signal bypass fpga

Verilog 2 Updated Aug 6, 2020

Open Logic HDL Standard Library

VHDL 259 20 Updated Oct 8, 2024

Spicing up the first and only EU FPGA chip with a flashy new board, loaded with a suite of engaging demos and examples. https://www.chili-chips.xyz/open-cologne

Verilog 41 2 Updated Oct 10, 2024

Hardware Description Language Translator

SystemVerilog 16 3 Updated Oct 8, 2024

All the mumbo jumbo code that is me learning VHDL. Primarily targeted for Microsemi Smartfusion2

VHDL 7 4 Updated Jun 19, 2020

A recreation of Williams Defender 1981 arcade game for DE10-Lite FPGA dev board, written in VHDL.

VHDL 32 7 Updated Oct 13, 2022

Guidelines for low-level cryptography software

1,104 90 Updated Jun 11, 2023

A minimal GPU design in Verilog to learn how GPUs work from the ground up

SystemVerilog 6,986 522 Updated Aug 18, 2024

A high-throughput VHDL and SystemVerilog implementation of AES-128 including scripts for a full front-end design process.

SystemVerilog 38 13 Updated Nov 17, 2014

📁 NEORV32 projects and exemplary setups for various FPGAs, boards and (open-source) toolchains.

VHDL 62 16 Updated Oct 7, 2024

Low-cost PCIe x1 to SMA adapter

6 Updated Oct 28, 2021
Jupyter Notebook 119 28 Updated Sep 11, 2022

The ILA allows you to perform in-system debugging of your designs on the GateMate FPGA at runtime. All signals of your design inside the FPGA can be monitored in a waveform.

Verilog 46 3 Updated Sep 26, 2024

Source Code for the paper Titled FASTHash: FPGA-Based High Throughput Parallel Hash Table published in ISC high performance 2020

SystemVerilog 19 6 Updated Apr 11, 2022

Reads a state transition system and performs property checking

C++ 75 19 Updated Sep 10, 2024

SystemVerilog support for Yosys

Verilog 160 21 Updated Oct 10, 2024

RISCV CPU implementation tutorial steps for Cologne Chip Gatemate E1, adopted from https://github.com/BrunoLevy/learn-fpga

Verilog 12 2 Updated Oct 6, 2024

Ada-language framework

Ada 38 5 Updated Oct 8, 2024

Directly Synthesized Content-Addressable Memory (DSCAM) is an innovative method to implement very large CAMs on FPGAs. DSCAM offers guaranteed low-latency and high throughput lookups with an afford…

Python 8 Updated Nov 1, 2023

GHDL Verilator Interface. A glue code generator for VHDL Verilog cosimulation.

C++ 8 Updated Oct 3, 2024

Python/C/RTL cosimulation with Xilinx's xsim simulator

C++ 61 11 Updated Sep 6, 2024

A community-supported supercharged version of paperless: scan, index and archive all your physical documents

Python 19,720 1,075 Updated Oct 10, 2024
Stata 3 Updated May 2, 2023

WIP 100BASE-TX PHY

Verilog 71 5 Updated Mar 19, 2023

OSVVM submodule for Co-simulation features

C++ 5 2 Updated Sep 4, 2024

Mirror of Lauterbach's JSwitch VHDL IP

VHDL 3 1 Updated Mar 9, 2023

GNU Make Standard Library

HTML 57 1 Updated Mar 30, 2024

Do-It-Yourself PID für Espressomaschinen

C++ 279 144 Updated Sep 22, 2024

Formal verification (experiments) targeting the NEORV32 RISC-V processor.

VHDL 6 2 Updated Feb 1, 2023

This is the Rust course used by the Android team at Google. It provides you the material to quickly teach Rust.

Rust 27,700 1,652 Updated Oct 9, 2024
Next