Skip to content
View svofski's full-sized avatar

Organizations

@ForthHub
Block or Report

Block or report svofski

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

Hacking the planet from a LilyGo T-Deck using custom firmware

C 27 2 Updated Jul 26, 2024

A command-line tool for (audio <--> spectrogram). A fork of ARSS 0.2.3.

C 9 5 Updated Mar 3, 2012

ESP32 based AY multiformat player

C 7 Updated Jun 27, 2024

A set of audio processing functions implemented by FPGA

Verilog 28 2 Updated Sep 28, 2021

WM8978 full function driver library for general MCU and Linux.

C 56 12 Updated Feb 25, 2024

This is an emulator of the SOviet personal computer Vector06c

C++ 7 Updated Jul 17, 2024
Python 2 Updated Nov 14, 2023

NESTang SDRAM controller and usage example for Tang Nano 20K

Verilog 26 4 Updated Jul 25, 2023

A micro DNS server for MicroPython to simply respond to A queries on multi-domains with or without wildcards (used on Pycom modules & ESP32)

Python 71 20 Updated Mar 7, 2018

Чертежи корпуса ПК Вектор-06ц

1 Updated Jul 8, 2024

Вектор ПК-6128ц новодел

Assembly 5 Updated Apr 26, 2024

System, apps and tooling for the Gigatron TTL microcomputer

C 2 Updated Jun 15, 2024

How to connect an USB Keyboard / Mouse to a embedded system with a CH9350 Chip

C++ 16 4 Updated Sep 30, 2022

A compact USB HID host FPGA core supporting keyboards, mice and gamepads.

Verilog 98 16 Updated Jun 10, 2024

Read input from a USB keyboard through the Raspberry Pi Pico PIO interface

C 8 1 Updated Apr 15, 2023

USB keyboard to PS/2 / AT / XT interface converter using a Raspberry Pi Pico

C 102 19 Updated May 26, 2024

Memory system and UART implemented on Tang Nano 20K for DEC DCJ11 PDP-11 Processor

GLSL 27 2 Updated Jul 30, 2024

5V tolerant interface for Tang Nano

GLSL 23 2 Updated Jul 23, 2024

Amiga Minimig ported to the Tang Nano 20k FPGA

SystemVerilog 71 4 Updated Jul 21, 2024

Simple demo of using the python installable FPGA synthesis

Makefile 5 1 Updated Jan 17, 2024
Verilog 65 7 Updated Mar 1, 2023

Atari STE MiSTery core for the Tang Nano 20k FPGA

SystemVerilog 117 15 Updated Jul 27, 2024

A windows console application for serial communications.

C# 184 22 Updated May 30, 2024

Interactive code generators for Gowin FPGAs

HTML 14 1 Updated Mar 16, 2023

An open source PSRAM/HyperRAM controller for Sipeed Tang Nano 9K / Gowin GW1NR-LV9QN88PC6/15 FPGA

Verilog 54 6 Updated Oct 11, 2022

Fuzix C Compiler Project

C 44 9 Updated Jun 25, 2024

The Computer History Simulation Project

C 11 2 Updated Feb 19, 2024

NTP clock based on ESP 12F (ESP8266)

C++ 24 1 Updated May 14, 2024

SH1122 STM32 HAL Driver

C 9 1 Updated Jun 1, 2020

VFD屏幕7-BT-317NK驱动程序,主控ESP8266

C++ 11 2 Updated Nov 17, 2023
Next