Skip to content

svancau/cocotb

 
 

Repository files navigation

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

Documentation Status Build Status Coverity Scan Status

Quickstart

# Install pre-requisites (waveform viewer optional)
sudo yum install -y iverilog python-devel gtkwave

# Checkout git repositories
git clone https://github.com/potentialventures/cocotb.git

# Run the tests...
cd cocotb/examples/endian_swapper/tests
make

# View the waveform
gtkwave waveform.vcd

Tutorials and examples

About

Coroutine Co-simulation Test Bench

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages

  • Python 40.3%
  • C++ 20.2%
  • C 15.1%
  • VHDL 12.0%
  • Makefile 7.1%
  • Verilog 5.0%
  • Other 0.3%