Skip to content
Change the repository type filter

All

    Repositories list

    • website

      Public
      Public facing website
      Svelte
      0000Updated Sep 21, 2024Sep 21, 2024
    • Small footprint and configurable Inter-Chip communication cores
      Python
      Other
      25000Updated Aug 12, 2024Aug 12, 2024
    • liteeth

      Public
      Small footprint and configurable Ethernet core
      Python
      Other
      86000Updated Aug 12, 2024Aug 12, 2024
    • Verilog AXI stream components for FPGA implementation
      Python
      MIT License
      222000Updated Aug 12, 2024Aug 12, 2024
    • hls4ml

      Public
      Machine learning on FPGAs using HLS
      C++
      Apache License 2.0
      402000Updated Aug 12, 2024Aug 12, 2024
    • verible

      Public
      Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
      C++
      Other
      203100Updated Aug 12, 2024Aug 12, 2024
    • Common SystemVerilog components
      SystemVerilog
      Other
      140000Updated Aug 12, 2024Aug 12, 2024
    • verilator

      Public
      Verilator open-source SystemVerilog simulator and lint system
      C++
      GNU Lesser General Public License v3.0
      591000Updated Aug 12, 2024Aug 12, 2024
    • prjxray

      Public
      Documenting the Xilinx 7-series bit-stream format.
      Python
      ISC License
      149000Updated Aug 12, 2024Aug 12, 2024
    • Build Customized FPGA Implementations for Vivado
      Java
      Other
      108000Updated Aug 12, 2024Aug 12, 2024
    • yosys

      Public
      Yosys Open SYnthesis Suite
      C++
      ISC License
      872000Updated Aug 12, 2024Aug 12, 2024
    • UHDM

      Public
      Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
      C++
      Apache License 2.0
      40000Updated Aug 12, 2024Aug 12, 2024
    • cocotb

      Public
      cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
      Python
      BSD 3-Clause "New" or "Revised" License
      505000Updated Aug 12, 2024Aug 12, 2024
    • System on Chip toolkit for Amaranth HDL
      Python
      BSD 2-Clause "Simplified" License
      30000Updated Aug 12, 2024Aug 12, 2024
    • amaranth

      Public
      A modern hardware definition language and toolchain based on Python
      Python
      BSD 2-Clause "Simplified" License
      170000Updated Aug 12, 2024Aug 12, 2024
    • Universal utility for programming FPGA
      C++
      Apache License 2.0
      252100Updated Aug 12, 2024Aug 12, 2024
    • Board definitions for Amaranth HDL
      Python
      BSD 2-Clause "Simplified" License
      109000Updated Aug 12, 2024Aug 12, 2024
    • LiteX boards files
      Python
      BSD 2-Clause "Simplified" License
      279000Updated Aug 12, 2024Aug 12, 2024
    • litepcie

      Public
      Small footprint and configurable PCIe core
      Python
      Other
      116000Updated Aug 12, 2024Aug 12, 2024
    • litedram

      Public
      Small footprint and configurable DRAM core
      Python
      Other
      120000Updated Aug 12, 2024Aug 12, 2024
    • litespi

      Public
      Small footprint and configurable SPI core
      Python
      BSD 2-Clause "Simplified" License
      23000Updated Aug 12, 2024Aug 12, 2024
    • synlig

      Public
      SystemVerilog support for Yosys
      Verilog
      Apache License 2.0
      21000Updated Aug 12, 2024Aug 12, 2024
    • BaseJump STL: A Standard Template Library for SystemVerilog
      SystemVerilog
      Other
      97000Updated Aug 12, 2024Aug 12, 2024
    • litescope

      Public
      Small footprint and configurable embedded FPGA logic analyzer
      Python
      Other
      40000Updated Aug 12, 2024Aug 12, 2024
    • SystemVerilog support for Yosys
      Verilog
      Apache License 2.0
      21000Updated Aug 12, 2024Aug 12, 2024
    • finn

      Public
      Dataflow compiler for QNN inference on FPGAs
      Python
      BSD 3-Clause "New" or "Revised" License
      230000Updated Aug 12, 2024Aug 12, 2024
    • Dataflow QNN inference accelerator examples on FPGAs
      Python
      BSD 3-Clause "New" or "Revised" License
      57000Updated Aug 12, 2024Aug 12, 2024
    • esp

      Public
      Embedded Scalable Platforms: Heterogeneous SoC architecture and IP integration made easy
      C
      Other
      106000Updated Aug 12, 2024Aug 12, 2024
    • migen

      Public
      A Python toolbox for building complex digital hardware
      Python
      Other
      209000Updated Aug 12, 2024Aug 12, 2024
    • Surelog

      Public
      SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
      C++
      Apache License 2.0
      68000Updated Aug 12, 2024Aug 12, 2024