Skip to content

nndurj/sv_dpi

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

4 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

About

Sample systemverilog dpi-c program that compiles on verilator and questasim

verilator

make SIM=verilator

Questasim

make SIM=questa

About

No description, website, or topics provided.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published