Skip to content

lucasasselli/mandelbrot-fpga

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

10 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Madelbrot FPGA

Introduction

Mandelbrot FPGA is an FPGA implementation for a calculator and visualizer of the homonimous mathematical set. The logic was designed using Mathworks Simulink HDL Coder for the Terasic DE10-Nano Board.

This project is the result of a bad flu, an unhealthy fascination with fractals and too much spare time.

Features

  • 1280x720p resolution
  • 60 FPS
  • 128 Mandelbrot iterations
  • 18 bit fixed point architecture.

Contents

  • Sample OpenGL application to explore the Mandelbrot set
  • Quartus DE10 Nano project
  • Simulink project for the core logic

Samples

Acknowledgments

Thanks to @nhasbun for his well made implementation of the ADV7513 HDMI Transmitter interface.

About

A groovy FPGA implementation of Mandelbrot

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages