Skip to content
View klsllzx's full-sized avatar

Block or report klsllzx

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

A tool for converting pure-past LTL formulae to temporal testers, along with auxiliary tools.

Haskell 2 Updated May 16, 2023

Generate monitor circuits for LTL properties

Python 3 Updated Feb 23, 2020

past-ltl -> aiger circuit library.

Python 3 1 Updated Nov 3, 2022

A minimalistic and high-performance SAT solver

C++ 1,020 383 Updated Apr 28, 2024

Using GNN and DQN to find a baetter branching heuristic for a CDCL Solver

C++ 50 19 Updated Oct 20, 2020

A tool that converts SystemVerilog to Verilog. Uses Design Compiler, so it is 100% compatible.

Python 38 10 Updated Apr 13, 2023

Annotating Slack Directly on Your Verilog: Fine-Grained RTL Timing Evaluation for Early Optimization

Verilog 15 Updated Jul 3, 2024

Concolic Testing on RTL for Detecting Security Vulnerabilities

Python 5 5 Updated Feb 1, 2022

HAL – The Hardware Analyzer

C++ 624 76 Updated Oct 14, 2024

work in progress, playing around with btor2 in rust

Rust 4 Updated Oct 9, 2024

Generate testbench for your verilog module.

Python 35 24 Updated Apr 3, 2018

Fast Symbolic Repair of Hardware Design Code

Python 17 2 Updated Apr 25, 2024

Companion artifact for ASPLOS '24: Lifting Micro-Update Models from RTL

Verilog 5 Updated Mar 4, 2024

KtikZ provides a nice user interface for making pictures using TikZ.

C++ 352 34 Updated Jul 10, 2024

PyTorch model to RTL flow for low latency inference

Tcl 119 11 Updated Mar 15, 2024

A modern hardware definition language and toolchain based on Python

Python 1,552 173 Updated Oct 9, 2024

Convert PDF to markdown quickly with high accuracy

Python 17,005 967 Updated Sep 7, 2024

py-aiger: A python library for manipulating sequential and combinatorial circuits encoded using `and` & `inverter` gates (AIGs).

Python 40 9 Updated Mar 16, 2024

AIGER And-Inverter-Graph Library

C 58 18 Updated Jun 3, 2024

Code for transforming aiger expression into an equi-satisifabile aiger expression in CNF form.

Python 6 2 Updated Mar 16, 2024
Verilog 9 5 Updated Jan 10, 2023

List of awesome open source hardware tools, generators, and reusable designs

Python 1,883 172 Updated Aug 31, 2024

Automated Repair of Verilog Hardware Descriptions

Verilog 26 4 Updated Apr 9, 2024

The source code to the Voss II Hardware Verification Suite

Verilog 53 13 Updated Sep 18, 2024

C++ logic network library

C++ 209 138 Updated Sep 26, 2024

ABC: System for Sequential Logic Synthesis and Formal Verification

C 895 584 Updated Oct 8, 2024
Verilog 3 Updated Jul 27, 2020

📚 Solutions for C++ Primer 5th exercises.

C++ 3,280 1,436 Updated Jul 30, 2023

code for privacy-preserving sat solver

Roff 17 6 Updated Jul 14, 2023
Next