Skip to content

Releases: fpgacademy/DESim

Version 2.1

22 Aug 15:56
Compare
Choose a tag to compare

This minor release updates the following:

  • Unifies all demos to use the same scripts (run_compile and run_sim)
  • Adds some flags to the vsim call for VHDL projects. Without the flags some VHDL projects would issue warnings that caused the simulation to have errors.
  • Adds VHDL version of the ROM for the display demo
  • Adds new troubleshooting issue to the tutorials

Version 2.0

16 May 19:19
Compare
Choose a tag to compare

The second release of the DESim software. This release adds supports for SystemVerilog, VHDL, Linux and Questa-Intel FPGA Edition Software.

DESim now supports:

Both Microsoft Windows 10 and Ubuntu Linux
Both ModelSim-Intel FPGA Edition Software and Questa-Intel FPGA Edition Software
Simulation of the Verilog, SystemVerilog and VHDL hardware description languages

The release includes the following:

Software Files:
desim_setup.exe: Microsoft Windows 10 installer
desim.tar.gz: Tarball with the Ubuntu Linux version of the software

Documents:
DESim_Install_Guide.pdf: An installation guide for the DESim software
DESim_Tutorial_SystemVerilog.pdf: A tutorial for the DESim software with SystemVerilog examples
DESim_Tutorial_Verilog.pdf: A tutorial for the DESim software with Verilog examples
DESim_Tutorial_VHDL.pdf: A tutorial for the DESim software with VHDL examples

Version 2.0 (Beta)

04 May 01:36
Compare
Choose a tag to compare
Version 2.0 (Beta) Pre-release
Pre-release

The second release of the DESim software. This release adds supports for VHDL, Linux and Questa-Intel FPGA Edition Software.

DESim now supports:

  1. Both Microsoft Windows 10 and Ubuntu Linux
  2. Both ModelSim-Intel FPGA Edition Software and Questa-Intel FPGA Edition Software
  3. Simulation of both Verilog and VHDL hardware description languages

The release includes the following:
Software Files:

  1. desim_setup.exe: Microsoft Windows 10 installer
  2. desim.tar.gz: Tarball with the Ubuntu Linux version of the software

Documents:

  1. DESim_Install_Guide.pdf: An installation guide for the DESim software
  2. DESim_Tutorial_Verilog.pdf: A tutorial for the DESim software with Verilog examples
  3. DESim_Tutorial_VHDL.pdf: A tutorial for the DESim software with VHDL examples

Version 1.0.1

27 Jan 18:39
Compare
Choose a tag to compare

The initial release of the DESim software tool, with a small edit to remove the broken uninstaller.