Skip to content

eminakgun/axis_vip

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

20 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

AXI Stream Verification IP

This repo contains an UVM based AXI Stream VIP

Diagram

Diagram

Features

  • Assertion based property checking
  • AXI4-Stream Pin Interface
  • Standalone Bus Functional Models (independent of UVM based components)
    • Master BFM
    • Slave BFM
    • Monitor BFM
  • UVM Agent
    • Configuration
    • Driver
    • Monitor
    • Sequencer
    • Coverage collection
  • UVM Sequence Item
  • UVM Sequences
    • Default full random sequence
    • Byte stream sequence
    • Continous aligned stream sequence
    • Continous unaligned stream sequence
    • Sparse stream sequence
  • UVM Environment
    • Environment Configuration
    • Virtual sequencer
    • Scoreboard
    • Coverage collection

TODO

  • Transfer based simulation
  • Packet based simulation
  • Add Scoreboard
  • Add agent covergroups
  • Add match/mismatch count in scoreboard
  • Add SVAs
  • Add default value signaling

Releases

No releases published

Packages

No packages published