Skip to content

Issues: dgschwend/zynqnet

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

about ".xdc" file
#71 opened Sep 15, 2021 by Candice-Yin
The output channel
#68 opened Dec 21, 2020 by ALEX5874
How to add BN layer
#67 opened Dec 21, 2020 by ALEX5874
Export RTL Error
#64 opened Apr 9, 2020 by dldldlfma
synthesis failed
#57 opened Feb 8, 2019 by massbhagi
Synthesizability check failed
#55 opened Oct 3, 2018 by mpFPGA
Compressing zynqnet
#50 opened Jun 2, 2018 by ghost
configure the clock rate
#48 opened May 29, 2018 by denghp5
How to run the project on FPGA?
#46 opened May 8, 2018 by wangj346
something about codes
#45 opened Apr 27, 2018 by leeeexp
ProTip! no:milestone will show everything without a milestone.