Skip to content

carnote/dma_axi

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

4 Commits
 
 
 
 
 
 

Repository files navigation

------------------------------ Remark ----------------------------------------
We will be very happy to receive any kind of feedback regarding our tools and cores. 
We will also be willing to support any company intending to integrate our cores into their project.
For any questions / remarks / suggestions / bugs please contact [email protected].
------------------------------------------------------------------------------

Opencores.org project - DMA AXI

This core is based on the Provartec PR200 IP - 'Generic High performance dual-core AXI DMA'

The original IP is a configurable, generic AXI DMA written in RobustVerilog.

This project contains two Verilog cores, one a 32-bit build and the other a 64-bit build.

To view the complete IP - http:https://www.provartec.com/ipproducts/56

About

AXI DMA 32 / 64 bits

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • Verilog 100.0%