Skip to content
This repository has been archived by the owner on Oct 31, 2020. It is now read-only.

Commit

Permalink
fix: Ports Vector Size Problem-53
Browse files Browse the repository at this point in the history
  • Loading branch information
aliemo committed May 26, 2020
1 parent 4aadeeb commit 76800fc
Showing 1 changed file with 6 additions and 6 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -34,21 +34,21 @@ architecture testbench of tb_sine_cosine_module is
port (
aclk : in std_logic ;
din_tvalid : in std_logic ;
din : in std_logic_vector(16 downto 0) ;
din : in std_logic_vector(15 downto 0) ;
din_tready : out std_logic ;
dout_tvalid : out std_logic ;
dout_sine : out std_logic_vector(16 downto 0);
dout_cosine : out std_logic_vector(16 downto 0)
dout_sine : out std_logic_vector(15 downto 0);
dout_cosine : out std_logic_vector(15 downto 0)
) ;
end component sine_cosine_module;

signal aclk : std_logic ;
signal din_tvalid : std_logic ;
signal din : std_logic_vector(16 downto 0) ;
signal din : std_logic_vector(15 downto 0) ;
signal din_tready : std_logic ;
signal dout_tvalid : std_logic ;
signal dout_sine : std_logic_vector(16 downto 0);
signal dout_cosine : std_logic_vector(16 downto 0)
signal dout_sine : std_logic_vector(15 downto 0);
signal dout_cosine : std_logic_vector(15 downto 0)

begin

Expand Down

0 comments on commit 76800fc

Please sign in to comment.