Skip to content

WoolseyWorkshop/Article-Getting-Started-With-The-TinyFPGA-BX

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Woolsey Workshop

Getting Started With The TinyFPGA BX Article Resources

This repository contains resources related to the Getting Started With The TinyFPGA BX article published on WoolseyWorkshop.com.

Verilog based APIO project for the TinyFPGA BX that blinks the on-board LED once per second.

Verilog based APIO project for the TinyFPGA BX that compares two values read from a DIP switch and displays the results using LEDs. Contains comparator sub-module and testbench along with top level module.

KiCad schematic for a TinyFPGA BX based comparator circuit.