Skip to content

一篇全面的 Bluespec SystemVerilog (BSV) 中文教程,介绍了BSV的调度、FIFO数据流、多态等高级特性,展示了BSV相比于传统Verilog开发的优势。

License

Notifications You must be signed in to change notification settings

ICLearnings/WangXuan95_BSV_Tutorial_cn

About

一篇全面的 Bluespec SystemVerilog (BSV) 中文教程,介绍了BSV的调度、FIFO数据流、多态等高级特性,展示了BSV相比于传统Verilog开发的优势。

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • Bluespec 81.4%
  • Shell 8.0%
  • Assembly 5.7%
  • Python 4.9%