Skip to content

Releases: FPGAwars/apio

v0.9.5

14 Jun 10:10
Compare
Choose a tag to compare
  • Date: 2024-June-14
  • NOTE: Bug Fix release
  • Bug fixed: issue #380, missing 'packaing' package in dependencies (by @Obijuan )
  • Bug fixed: issue #379, oss-cad-suite download link for darwin_x86_64 not correctly generated (by @Obijuan )

v0.9.4

27 May 07:55
Compare
Choose a tag to compare
  • Date: 2024-April-29
  • NOTE: Bug Fix release
  • Bug fixed: unicode character map error in Windows OS and some linux distributions with non native unicode support (by @cavearr ).
    • This bug caused Icestudio 0.12 not working ok in some platforms. Some unicode characters have been removed from apio (screenshots not updated in the documentation). They will be restored in next versions

Full Changelog: v0.9.3...v0.9.4

v0.9.3

02 Apr 17:38
Compare
Choose a tag to compare
  • Date: 2024-April-02
  • NOTE: Quick update release
  • Bug fixed: apio main help: output reformated, by @Obijuan
  • Documentation moved to the wiki, by @Obijuan
  • Windows: Apio drivers --ftdi_enable: code refactoring, by @Obijuan
  • Bug fixed: #363: Error when executing apio graph, by @Obijuan
  • issue #361: Improve error message in windows when running apio drivers --ftdi-enable, by @Obijuan

Full Changelog: v0.9.2...v0.9.3

v0.9.2

23 Mar 11:39
Compare
Choose a tag to compare
  • Date: 2024-March-23
  • NOTE: Quick update release
  • Bug Fixed: Error when uploading, building, verifying from icestudio, by @Obijuan

Full Changelog: v0.9.1...v0.9.2

v0.9.1

22 Mar 23:10
Compare
Choose a tag to compare

What's Changed

  • oss-cad-suite: Version 0.0.9, by @cavearr
  • Code cleaning, documentation and refactoring, by @Obijuan
  • Add top-module parameter to the apio.ini file, by @Obijuan
  • apio init now includes the option parameter top-module, by @Obijuan
  • TinyFPGA-BX upload messages improved, by @Obijuan
  • iceprog upload messages improved, by @Obijuan
  • apio install -l: Package listing improved, by @Obijuan
  • apio examples -l: examples listing improved, by @Obijuan
  • apio boards -l: board listing improved, by @Obijuan
  • Add suport for the Theta Machines ETH4K board by @will-hut in #339
  • Added to the apio sim an optional -testbench flag by @zapta in #341
  • Extended the apio clean command to delete all the .out and .vcd files. by @zapta in #342
  • Changed the behavior of the sim command to require --testbench flag if more than once benchmark is found. by @zapta in #343
  • Apio verify/lint commands now process also all the testbench files. by @zapta in #344
  • Added to the gtkwave command a flag to disable the spalsh screen. by @zapta in #347
  • Fixing the apio time command. by @zapta in #349
  • Changed the default zoom of gtkwave from min to max. by @zapta in #350
  • Added an apio test command. by @zapta in #352
  • Tweaking the verify command warnings. by @zapta in #353
  • Added the alias -h to the existing --help flag. by @zapta in #355
  • Added the 'apio graph' command which generates a svg graph of the verilog code. by @zapta in #356
  • Two minor fixes. Click command metavar and yosys graph command. by @zapta in #359
  • Undoing a previous change in the yosys graph generation command that was apparently unnecessary. by @zapta in #360
  • Added support for OSX arm 64, by @cavearr
  • Fix unconstrained in LPF for ecp5 boards with the new oss cad suite, by @cavearr
  • Fix SConstruct bug for ecp5 boards and support for custom top module by command line, by @cavearr

Important

  • Since this release, you should include the top-module name in the apio.ini project file (or pass it though parameters). If no module name is given, main is used by default

New Contributors

Full Changelog: v0.8.4...v0.9.0

v0.8.4

03 Oct 06:51
Compare
Choose a tag to compare
  • Date: 2023-Oct-3
  • NOTE: Quick update release
  • New board: orangecrab-r02-85f (benitoss)
  • New board: ButerSticr1.0 DFU & FT2232H (benitoss)
  • ECP5 FPGAs: compress bitstream generation (Add flag --compress) (benitoss)
    @benitoss

v0.8.3

01 Oct 17:19
db720fa
Compare
Choose a tag to compare

Date: 2023-October-01

This is a minor update from 0.8.2 that correct some bugs:

v0.8.2

29 Sep 19:15
Compare
Choose a tag to compare
  • Date: 2023-September-29
  • NOTE: old apio packages fully removed
  • Adding documentation about apio build --top-module (luongb)
  • Apio build parameter for top-lvl module (luongb)
  • Apio build -v(verbose) fix (luongb)
  • Bug fixed: wrong type of quotes used. Caused JSON decoder error (luongb)
  • Add fpga for iCE40-UL1K-CM36A (Kirk Clendinning)
  • Adding the iCE UltraLite Breakout Board (Kirk Clendinning)
  • github actions improved (Obijuan)
  • Newer iCE40-HX8K and ECP5 Versa boards supported (Obijuan)
  • Python packages upgraded (request 2.28.2, click 8.1.3, colorama 0.4.6) (Obijuan)
  • Bug fixed in iCESugar-Pro (ahaberlach)
  • New board: Pico-Ice (benitoss)
  • Newer Icestick boards supported (himarcarmona)
  • New FT232H programer for the ColorLight i9 (jojo535275)
  • New USB-Blaster programer for the colorlight i9 (jojo535275)
  • Bug fixed in Colorlight 5A-75B V6.1 (benitoss)
  • Newer Lattice iCE40UP5K Breakout boards are supported (Kevin Lutzer)
  • New board: ColorLight-i9-v7.2_(FT2232H) (jojo535275)
  • Old functions removed (Obijuan)
  • Code refactoring (Obijuan)
  • Code clean: Lint score 10/10 (Obijuan)
  • Github API not accessed anymore. The latest version of the apio packages is shown in the VERSION file (Obijuan)
  • Obsolete packages no longer shown with apio install -l (Obijuan)
  • Obsolete Apio packages removed: Scons, dfu, icesprog, fujprog, Yosys, ecp5, iverilator, Verilator, System-tools (Obijuan)

v0.8.1

28 Apr 20:44
Compare
Choose a tag to compare
  • Date: 2022-April-28
  • NOTE: Transition to the oss-cad-suite complete! The old packages are obsolete, but can still be installed. In the next release they will be fully removed
  • Support for the iceWerx board added (Obijuan (@Obijuan))
  • Examples added (Obijuan (@Obijuan))
  • Test-examples: alhambra-II. Testbenches added] (Obijuan (@Obijuan))
  • ECP5: SConstruct: message: no time analysis] (Obijuan (@Obijuan))
  • ecp5: iverilog: scons: added missing " " (Obijuan (@Obijuan))
  • ice40: Sconstruct. Iverilog: added missing " " (Obijuan (@Obijuan))
  • Bug fixed: apio time only depends on the oss-cad-suite package (Obijuan (@Obijuan))
  • Bug fixed: apio sim no longer requires the iverilog package] (Obijuan (@Obijuan))
  • fixed: get_terminal_size() (Obijuan (@Obijuan))
  • Added upduino v3.1 (vr2045 (@vr2045))
  • The Alchitry Cu board fixes (goodney (@goodney))
  • dfu, fujprog,icesprog and ecp5 packages declared as obsoletes (Obijuan (@Obijuan))
  • Verilator declared as obsolete package (Obijuan (@Obijuan))
  • Package iverilog is now declared obsolete (Obijuan (@Obijuan))
  • Readme: Update OrangeCrab/ButterStick (@gregdavill (@gregdavill))
  • Test examples for different boards: (Obijuan (@Obijuan))
    • Icesugar-1.5
    • Radiona ULX3S-12F
    • Icebreaker
    • TinyFPGA-BX
    • Fomu
    • ICE40UP
    • Blackice
    • ICE40-BreakBoard
    • Go-board
    • Alhambra II
    • Icezum Alhambra
  • Add butterstick support (and fix small orangecrab typo) (Andrew Goodney)

v0.8.0.post1

02 Apr 04:20
Compare
Choose a tag to compare
  • Date: 2022-April-2
  • Apio dependencies fixed
  • Bug fixed: It fixed the "get_terminal_size" error that appears because click version 0.8.1 was automaticaly installed (since March-28-2022)
  • The click version has been change to 0.7.2 temporaly for fixing the problem (it will be upgraded to 0.8.1 in the comming releases)