Skip to content
View Dread2's full-sized avatar
  • Fullerton, CA

Highlights

  • Pro

Block or report Dread2

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Beta Lists are currently in beta. Share feedback and report bugs.
Showing results

Verilog AXI components for FPGA implementation

Verilog 1,457 438 Updated Dec 7, 2023

SD-Card controller, using either SPI, SDIO, or eMMC interfaces

Verilog 193 32 Updated Sep 8, 2024

Tools and libraries to work with EFI variables

C 238 104 Updated Aug 18, 2024

Mirror of upstream LVM2 repository

C 131 71 Updated Oct 3, 2024

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

SystemVerilog 1,068 256 Updated Oct 8, 2024

Verilog PCI express components

Verilog 1,097 289 Updated Apr 26, 2024

SERV - The SErial RISC-V CPU

Verilog 1,405 185 Updated Oct 6, 2024

Library of VHDL components that are useful in larger designs.

VHDL 229 68 Updated Oct 10, 2023

Frame profiler

C++ 9,937 657 Updated Oct 9, 2024
C 985 38 Updated Sep 13, 2024

A minimal Linux-capable 64-bit RISC-V SoC built around CVA6

Verilog 187 41 Updated Oct 10, 2024

Verilog I2C interface for FPGA implementation

Verilog 524 170 Updated Jul 15, 2024

Verilog library for ASIC and FPGA designers

Verilog 1,167 285 Updated May 8, 2024

A lightweight library for portable low-level GPU computation using WebGPU.

C++ 3,705 176 Updated Oct 5, 2024

UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improv…

VHDL 362 91 Updated Oct 9, 2024

opensouce RISC-V cpu core implemented in Verilog from scratch in one night!

Verilog 2,099 282 Updated Sep 15, 2024

Embedded Controller firmware for the Framework Laptop

C 940 62 Updated Oct 9, 2024

A C-like hardware description language (HDL) adding high level synthesis(HLS)-like automatic pipelining as a language construct/compiler feature.

Python 588 48 Updated Oct 9, 2024

Open Logic HDL Standard Library

VHDL 258 20 Updated Oct 8, 2024

A PSP emulator for Android, Windows, Mac and Linux, written in C++. Want to contribute? Join us on Discord at https://discord.gg/5NJB6dD or just send pull requests / issues. For discussion use the …

C++ 11,149 2,164 Updated Oct 8, 2024

A teaching-focused RISC-V CPU design used at UC Davis

Scala 141 39 Updated Feb 5, 2023

Designed with Micriμm's renowned quality, scalability and reliability, the purpose of μC/ CPU is to provide a clean, organized ANSI C implementation of each processor's/compiler's hardware-dependent.

C 52 61 Updated May 19, 2021

An open source CPU design and verification platform for academia

C 87 24 Updated Sep 9, 2020

Custom bootloader for Qualcomm MSM8916/MSM8226/MSM8974/... devices

C 381 330 Updated Sep 29, 2024

Mirror of https://review.coreboot.org/coreboot.git. We don't handle Pull Requests.

C 2,177 533 Updated Oct 9, 2024

An ACM CSUF desktop pet written in Python

Python 3 Updated May 31, 2024

Verilog Ethernet components for FPGA implementation

Verilog 2,247 692 Updated Jul 18, 2024

System on Chip toolkit for Amaranth HDL

Python 81 30 Updated Sep 20, 2024

cuDF - GPU DataFrame Library

C++ 8,342 889 Updated Oct 10, 2024

Notes on building a 8bit CPU

Assembly 50 8 Updated Jan 3, 2018
Next