KR102717096B1 - Integrated circuit and computer-implemented method for manufacturing the same - Google Patents

Integrated circuit and computer-implemented method for manufacturing the same Download PDF

Info

Publication number
KR102717096B1
KR102717096B1 KR1020160100122A KR20160100122A KR102717096B1 KR 102717096 B1 KR102717096 B1 KR 102717096B1 KR 1020160100122 A KR1020160100122 A KR 1020160100122A KR 20160100122 A KR20160100122 A KR 20160100122A KR 102717096 B1 KR102717096 B1 KR 102717096B1
Authority
KR
South Korea
Prior art keywords
integrated circuit
timing
air gap
routing
critical path
Prior art date
Application number
KR1020160100122A
Other languages
Korean (ko)
Other versions
KR20170094744A (en
Inventor
류성민
원효식
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to US15/420,514 priority Critical patent/US9991249B2/en
Priority to TW106104007A priority patent/TWI718245B/en
Priority to CN201710070632.9A priority patent/CN107066681B/en
Publication of KR20170094744A publication Critical patent/KR20170094744A/en
Priority to US15/981,408 priority patent/US10418354B2/en
Application granted granted Critical
Publication of KR102717096B1 publication Critical patent/KR102717096B1/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2113/00Details relating to the application field
    • G06F2113/18Chip packaging

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Architecture (AREA)

Abstract

본 개시의 기술적 사상에 따른 집적 회로를 제조하는 컴퓨터 구현 방법은 집적 회로를 정의하는 표준 셀들을 배치하고, 배치된 표준 셀들 내의 타이밍 패쓰들 중 타이밍 크리티컬 패쓰의 적어도 하나의 네트를, 에어갭 레이어로 프리 라우팅하며, 타이밍 패쓰들 중 넌-크리티컬 패쓰의 네트들을 라우팅하고, 프리 라우팅 및 라우팅에 따라 생성된 레이아웃을 기초로 집적 회로를 제조한다.A computer-implemented method of manufacturing an integrated circuit according to the technical idea of the present disclosure comprises: arranging standard cells defining an integrated circuit; pre-routing at least one net of a timing critical path among timing paths in the arranged standard cells to an airgap layer; routing nets of non-critical paths among the timing paths; and manufacturing the integrated circuit based on a layout generated according to the pre-routing and routing.

Description

집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법{Integrated circuit and computer-implemented method for manufacturing the same}Integrated circuit and computer-implemented method for manufacturing the same

본 개시의 기술적 사상은 집적 회로에 관한 것이며, 더욱 상세하게는, 에어갭 레이어(air-gap layer)를 포함하는 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법에 관한 것이다.The technical idea of the present disclosure relates to an integrated circuit, and more particularly, to an integrated circuit including an air-gap layer and a computer-implemented method for manufacturing the integrated circuit.

반도체 공정 기술이 발전함에 따라 공정이 미세화가 가속화되고, 이에 따라, 도전 패턴들 사이의 간격이 감소하여 기생 커패시턴스가 증가할 수 있다. 이러한 기생 커패시턴스를 감소시키기 위하여, 도전 패턴들 사이에 에어갭 패턴을 배치하는 에어갭 기술이 활발하게 연구되고 있다. 공기는 유전 상수 값이 작으므로, 에어갭 패턴에 의해 기생 커패시턴스를 감소시킬 수 있고, 이에 따라, 반도체 칩의 동작 속도가 향상될 수 있다.As semiconductor process technology advances, process miniaturization is accelerated, and accordingly, the gap between conductive patterns is reduced, which may increase parasitic capacitance. In order to reduce this parasitic capacitance, air gap technology that places air gap patterns between conductive patterns is being actively studied. Since air has a small dielectric constant, parasitic capacitance can be reduced by the air gap pattern, and thus, the operating speed of the semiconductor chip can be improved.

본 개시의 기술적 사상은 저 비용으로 칩의 동작 속도를 향상시킬 수 있는 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법을 제공한다.The technical idea of the present disclosure provides an integrated circuit capable of improving the operating speed of a chip at low cost and a computer-implemented method for manufacturing the integrated circuit.

본 개시의 기술적 사상에 따른, 적어도 부분적으로 프로세서에 의해 수행되는, 집적 회로를 제조하는 컴퓨터 구현 방법은 상기 집적 회로를 정의하는 표준 셀들을 배치하는 단계, 배치된 상기 표준 셀들 내의 타이밍 패쓰들 중 타이밍 크리티컬 패쓰의 적어도 하나의 네트를, 에어갭 레이어로 프리 라우팅하는 단계, 상기 타이밍 패쓰들 중 넌-크리티컬 패쓰의 네트들을 라우팅하는 단계, 및 상기 프리 라우팅 및 상기 라우팅에 따라 생성된 레이아웃을 기초로 상기 집적 회로를 제조하는 단계를 포함한다.A computer-implemented method of manufacturing an integrated circuit, performed at least in part by a processor, according to the technical idea of the present disclosure, comprises the steps of: placing standard cells defining the integrated circuit; pre-routing at least one net of a timing critical path among timing paths within the placed standard cells to an airgap layer; routing nets of non-critical paths among the timing paths; and manufacturing the integrated circuit based on the pre-routing and the layout generated according to the routing.

또한, 본 개시의 기술적 사상에 따른 집적 회로는, 제1 도전 패턴, 및 상기 제1 도전 패턴에 인접하고 제1 방향으로 연장된 제1 에어갭 패턴을 갖는 제1 에어갭 레이어, 상기 제1 도전 패턴 상에 배치되어, 상기 제1 도전 패턴과 전기적으로 연결된 제1 비아, 및 상기 제1 비아와 전기적으로 연결된 제2 도전 패턴, 및 상기 제2 도전 패턴에 인접하고 상기 제1 방향과 실질적으로 수직인 제2 방향으로 연장된 제2 에어갭 패턴을 갖는 제2 에어갭 레이어를 포함한다.In addition, an integrated circuit according to the technical idea of the present disclosure includes a first air gap layer having a first conductive pattern, and a first air gap pattern adjacent to the first conductive pattern and extending in a first direction, a first via disposed on the first conductive pattern and electrically connected to the first conductive pattern, a second conductive pattern electrically connected to the first via, and a second air gap layer having a second air gap pattern adjacent to the second conductive pattern and extending in a second direction substantially perpendicular to the first direction.

본 개시의 기술적 사상에 따르면, 배치된 표준 셀들 내의 타이밍 패쓰들 중 타이밍 크리티컬 패쓰의 적어도 하나의 선택된 네트를 에어갭 레이어로 프리 라우팅하고, 타이밍 패쓰들 중 넌-크리티컬 패쓰들의 네트들을 라우팅함으로써, 저 비용으로 고 성능의 집적 회로를 구현할 수 있다. 이에 따라, 선택된 네트를 구성하는 도전 패턴들 사이의 기생 커패시턴스가 감소하여, 타이밍 크리티컬 패쓰의 전체 타이밍 딜레이가 감소할 수 있다. 따라서, 타이밍 크리티컬 패쓰는 타이밍 제약 조건을 만족할 수 있고, 집적 회로 및 이를 포함하는 칩의 동작 속도를 크게 향상시킬 수 있다.According to the technical idea of the present disclosure, by pre-routing at least one selected net of timing-critical paths among timing paths in arranged standard cells to an air gap layer and routing nets of non-critical paths among timing paths, a high-performance integrated circuit can be implemented at low cost. Accordingly, the parasitic capacitance between conductive patterns constituting the selected net is reduced, so that the overall timing delay of the timing-critical path can be reduced. Accordingly, the timing-critical path can satisfy timing constraints, and the operating speed of the integrated circuit and a chip including the same can be greatly improved.

도 1은 본 개시의 일 실시예에 따른 집적 회로의 제조 방법을 나타내는 흐름도이다.
도 2는 본 개시의 일 실시예에 따른 에어갭 레이어를 포함하는 집적 회로를 나타내는 단면도이다.
도 3 및 도 4는 본 개시의 일부 실시예들에 따른 집적 회로 설계 시스템들을 나타낸다.
도 5는 본 개시의 일 실시예에 따른 집적 회로의 설계 방법을 나타내는 흐름도이다.
도 6은 본 개시의 일 실시예에 따른 집적 회로의 설계 방법을 나타내는 흐름도이다.
도 7은 본 개시의 일 실시예에 따른 타이밍 분석 결과를 나타내는 그래프이다.
도 8은 본 개시의 일 실시예에 따라 에어갭 레이어를 이용하여 라우팅된 배선 구조를 나타낸다.
도 9a 내지 도 9c는 본 개시의 일 실시예에 따라 에어갭 레이어로 라우팅한 타이밍 크리티컬 패쓰 네트들을 각각 나타내는 사시도들이다.
도 10은 본 개시의 일 실시예에 따라 일반 레이어를 이용하여 라우팅된 배선 구조를 나타낸다.
도 11a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로를 나타내는 평면도이고, 도 11b는 도 11a의 집적 회로를 나타내는 사시도이다.
도 12a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로를 나타내는 평면도이고, 도 12b는 도 12a의 집적 회로를 나타내는 사시도이다.
도 13a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로를 나타내는 평면도이고, 도 13b는 도 13a의 집적 회로를 나타내는 사시도이다.
도 14a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로를 나타내는 평면도이고, 도 14b는 도 14a의 집적 회로를 나타내는 사시도이며, 도 14c는 도 14a에 대한 비교예에 따른 집적 회로를 나타낸다.
도 15a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로를 나타내는 평면도이고, 도 15b는 도 15a의 집적 회로를 나타내는 사시도이다.
도 16은 본 개시의 일 실시예에 따른 집적 회로에 포함되는 표준 셀의 레이아웃이다.
도 17은 본 개시의 일 실시예에 따른 저장 매체를 나타내는 블록도이다.
FIG. 1 is a flowchart illustrating a method for manufacturing an integrated circuit according to one embodiment of the present disclosure.
FIG. 2 is a cross-sectional view illustrating an integrated circuit including an airgap layer according to one embodiment of the present disclosure.
FIGS. 3 and 4 illustrate integrated circuit design systems according to some embodiments of the present disclosure.
FIG. 5 is a flowchart illustrating a design method of an integrated circuit according to one embodiment of the present disclosure.
FIG. 6 is a flowchart illustrating a design method of an integrated circuit according to one embodiment of the present disclosure.
FIG. 7 is a graph showing the results of timing analysis according to one embodiment of the present disclosure.
FIG. 8 illustrates a wiring structure routed using an air gap layer according to one embodiment of the present disclosure.
FIGS. 9A to 9C are perspective views each showing timing critical path nets routed to an airgap layer according to one embodiment of the present disclosure.
FIG. 10 illustrates a routing structure using a general layer according to one embodiment of the present disclosure.
FIG. 11a is a plan view showing an integrated circuit routed by applying an air gap pattern according to one embodiment of the present disclosure, and FIG. 11b is a perspective view showing the integrated circuit of FIG. 11a.
FIG. 12a is a plan view showing an integrated circuit routed by applying an air gap pattern according to one embodiment of the present disclosure, and FIG. 12b is a perspective view showing the integrated circuit of FIG. 12a.
FIG. 13a is a plan view showing an integrated circuit routed by applying an air gap pattern according to one embodiment of the present disclosure, and FIG. 13b is a perspective view showing the integrated circuit of FIG. 13a.
FIG. 14a is a plan view showing an integrated circuit routed by applying an air gap pattern according to one embodiment of the present disclosure, FIG. 14b is a perspective view showing the integrated circuit of FIG. 14a, and FIG. 14c shows an integrated circuit according to a comparative example for FIG. 14a.
FIG. 15a is a plan view showing an integrated circuit routed by applying an air gap pattern according to one embodiment of the present disclosure, and FIG. 15b is a perspective view showing the integrated circuit of FIG. 15a.
FIG. 16 is a layout of a standard cell included in an integrated circuit according to one embodiment of the present disclosure.
FIG. 17 is a block diagram illustrating a storage medium according to one embodiment of the present disclosure.

이하, 첨부한 도면을 참조하여 본 개시의 실시 예에 대해 상세히 설명한다. 도면 상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이에 대한 중복된 설명은 생략한다.Hereinafter, embodiments of the present disclosure will be described in detail with reference to the attached drawings. The same reference numerals are used for the same components in the drawings, and redundant descriptions thereof are omitted.

도 1은 본 개시의 일 실시예에 따른 집적 회로의 제조 방법을 나타내는 흐름도이다.FIG. 1 is a flowchart illustrating a method for manufacturing an integrated circuit according to one embodiment of the present disclosure.

도 1을 참조하면, 본 실시예에 따른 집적 회로의 제조 방법은 집적 회로의 설계(S10) 및 집적 회로의 제조 공정(S20)으로 구분될 수 있다. 집적 회로의 설계(S10)는 단계 S110 내지 S130을 포함하고, 집적 회로에 대한 레이아웃을 디자인하는 단계로서, 집적 회로를 설계하기 위한 툴을 이용하여 수행될 수 있다. 이때, 집적 회로를 설계하기 위한 툴은 프로세서에 의해 수행되는 복수의 명령어들을 포함하는 프로그램일 수 있다. 이에 따라, 집적 회로의 설계(S10)는 집적 회로의 설계를 위한 컴퓨터 구현(computer implemented) 방법이라고 지칭할 수 있다. 한편, 집적 회로의 제조 공정(S20)은 디자인된 레이아웃을 기초로 집적 회로에 따른 반도체 장치를 제조하는 단계로서, 반도체 공정 모듈에서 수행될 수 있다.Referring to FIG. 1, a method for manufacturing an integrated circuit according to the present embodiment can be divided into a design of an integrated circuit (S10) and a manufacturing process of an integrated circuit (S20). The design of the integrated circuit (S10) includes steps S110 to S130 and is a step of designing a layout for an integrated circuit, which can be performed using a tool for designing an integrated circuit. At this time, the tool for designing the integrated circuit can be a program including a plurality of instructions executed by a processor. Accordingly, the design of the integrated circuit (S10) can be referred to as a computer implemented method for designing an integrated circuit. Meanwhile, the manufacturing process of the integrated circuit (S20) is a step of manufacturing a semiconductor device according to the integrated circuit based on the designed layout, which can be performed in a semiconductor process module.

집적 회로는 복수의 셀들로서 정의될 수 있고, 구체적으로, 복수의 셀들의 특성 정보를 포함하는 셀 라이브러리를 이용하여 설계될 수 있다. 셀 라이브러리에는 셀의 명칭, 치수, 게이트 폭, 핀(pin), 딜레이(delay) 특성, 누설 전류, 임계 전압, 기능 등이 정의될 수 있다. 본 개시의 실시예들에서, 셀 라이브러리는 표준 셀 라이브러리일 수 있다. 표준 셀 라이브러리는 복수의 표준 셀들의 레이아웃 정보 및 타이밍 정보 등과 같은 정보를 포함할 수 있고, 컴퓨터로 읽을 수 있는 저장매체에 저장될 수 있다.An integrated circuit may be defined as a plurality of cells, and specifically, may be designed using a cell library including characteristic information of a plurality of cells. The cell library may define a name, a dimension, a gate width, a pin, a delay characteristic, a leakage current, a threshold voltage, a function, and the like of a cell. In embodiments of the present disclosure, the cell library may be a standard cell library. The standard cell library may include information such as layout information and timing information of a plurality of standard cells, and may be stored in a computer-readable storage medium.

단계 S110에서, 집적 회로를 정의하는 표준 셀들을 배치한다. 예를 들어, 단계 S110은 배치 및 배선(placement and routing, 이하 'P&R') 툴을 이용하여 프로세서에 의해 수행될 수 있다. 먼저, 집적 회로를 정의하는 입력 데이터를 수신한다. 여기서, 입력 데이터는 집적 회로의 동작(behavior)에 대한 추상적 형태, 예컨대 RTL(Register Transfer Level)에서 정의된 데이터로부터, 표준 셀 라이브러리를 이용하여 합성(synthesis)에 의해서 생성된 데이터일 수 있다. 예를 들면, 입력 데이터는 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 정의된 집적 회로가 합성됨으로써 생성된 비트스트림(bitstream) 또는 네트리스트(netlist)일 수 있다. 이어서, 표준 셀 라이브러리를 저장하는 저장 매체를 액세스하고, 표준 셀 라이브러리에 저장된 복수의 표준 셀들 중 입력 데이터에 따라 선택된 표준 셀들을 배치한다. In step S110, standard cells defining an integrated circuit are placed. For example, step S110 may be performed by a processor using a placement and routing (hereinafter, 'P&R') tool. First, input data defining an integrated circuit is received. Here, the input data may be data generated by synthesis using a standard cell library from data defined in an abstract form for a behavior of the integrated circuit, for example, in an RTL (Register Transfer Level). For example, the input data may be a bitstream or netlist generated by synthesizing an integrated circuit defined as an HDL (Hardware Description Language) such as VHDL (VHSIC Hardware Description Language) and Verilog. Next, a storage medium storing the standard cell library is accessed, and standard cells selected from among a plurality of standard cells stored in the standard cell library according to the input data are placed.

단계 S120에서, 배치된 표준 셀들에서 선택된 네트(net)를 에어갭 레이어(air-gap layer)로 프리 라우팅(pre-routing)한다. 단계 S130에서, 배치된 표준 셀들에서 비 선택된 네트들을 라우팅한다. 본 명세서에서, 에어갭 레이어는 에어갭 또는 에어갭 패턴을 포함하는 레이어를 지칭한다. 구체적으로, 배치된 표준 셀들에 포함된 복수의 네트들 중 적어도 하나의 네트를 선택하고, 선택된 적어도 하나의 네트를 에어갭 레이어로 할당할 수 있다. 본 실시예에서, 적어도 하나의 네트는 타이밍 크리티컬 패쓰(timing critical path)의 일 네트에 대응할 수 있다.In step S120, pre-routing is performed on selected nets from the placed standard cells to an air-gap layer. In step S130, unselected nets are routed from the placed standard cells. In this specification, the air-gap layer refers to a layer including an air-gap or an air-gap pattern. Specifically, at least one net among a plurality of nets included in the placed standard cells may be selected, and the selected at least one net may be assigned to the air-gap layer. In the present embodiment, at least one net may correspond to one net of a timing critical path.

본 명세서에서, 네트는 집적 회로의 등가 회로도에서 등전위를 나타낸다. 하나의 네트는 집적 회로의 레이아웃에서 하나의 상호연결(interconnection)에 대응할 수 있고, 하나의 상호연결은 서로 전기적으로 연결된 복수의 배선층들 및 비아들을 포함하는 배선 구조에 대응할 수 있다. 각 배선층은 복수의 도전 패턴들을 포함할 수 있고, 상이한 레벨의 배선층들에 형성된 도전 패턴들은, 전도성 물질로 구성된 비아를 통해서 서로 전기적으로 연결될 수 있다. 배선층은 전도성 물질로서 금속을 포함하는 것으로 설명될 수 있고, 금속층으로 지칭될 수 있다. 그러나, 본 개시의 일부 실시예들에서, 배선층들은 금속이 아닌 전도성 물질을 포함할 수도 있다.In this specification, a net represents an equipotential in an equivalent circuit diagram of an integrated circuit. One net may correspond to one interconnection in the layout of the integrated circuit, and one interconnection may correspond to a wiring structure including a plurality of wiring layers and vias that are electrically connected to each other. Each wiring layer may include a plurality of conductive patterns, and the conductive patterns formed in the wiring layers at different levels may be electrically connected to each other through vias made of a conductive material. The wiring layer may be described as including a metal as the conductive material, and may be referred to as a metal layer. However, in some embodiments of the present disclosure, the wiring layers may include a conductive material other than a metal.

일 실시예에서, 네트는 제1 배선층에 포함된 제1 도전 패턴, 제2 배선층에 포함된 제2 도전 패턴, 및 제1 도전 패턴과 제2 도전 패턴 사이에 전기적으로 연결된 비아를 포함할 수 있고, 제1 배선층과 제2 배선층은 서로 다른 레벨에 배치될 수 있다. 그러나, 본 발명은 이에 한정되지 않으며, 일부 실시예들에서, 네트는 동일 배선층에 포함된 도전 패턴들을 포함할 수도 있다. 또한, 일부 실시예들에서, 네트는 제1 배선층에 포함된 복수의 제1 도전 패턴들 및 제2 배선층에 포함된 복수의 제2 도전 패턴들을 포함할 수도 있다.In one embodiment, the net may include a first conductive pattern included in a first wiring layer, a second conductive pattern included in a second wiring layer, and a via electrically connected between the first conductive pattern and the second conductive pattern, wherein the first wiring layer and the second wiring layer may be arranged at different levels. However, the present invention is not limited thereto, and in some embodiments, the net may include conductive patterns included in the same wiring layer. Furthermore, in some embodiments, the net may include a plurality of first conductive patterns included in the first wiring layer and a plurality of second conductive patterns included in the second wiring layer.

본 실시예에 따르면, 선택된 네트를 구성하는 도전 패턴에 인접하게 에어갭 패턴을 배치함으로써, 선택된 네트를 에어갭 레이어로 프리 라우팅할 수 있다. 본 실시예에서, 에어갭 레이어는 양방향(bi-directional) 에어갭 레이어로 구현될 수 있다. 구체적으로, 에어갭 레이어에 포함된 에어갭 패턴은 제1 방향으로 연장되거나 또는 제1 방향과 실질적으로 수직인 제2 방향으로 연장될 수 있다. 이하에서는 도 2를 참조하여 에어갭 레이어에 대해 상술하기로 한다.According to the present embodiment, by arranging an air gap pattern adjacent to a challenge pattern constituting a selected net, the selected net can be pre-routed to an air gap layer. In the present embodiment, the air gap layer can be implemented as a bi-directional air gap layer. Specifically, the air gap pattern included in the air gap layer can extend in a first direction or in a second direction substantially perpendicular to the first direction. Hereinafter, the air gap layer will be described in detail with reference to FIG. 2.

도 2는 본 개시의 일 실시예에 따른 에어갭 레이어를 포함하는 집적 회로(IC)를 나타내는 단면도이다.FIG. 2 is a cross-sectional view illustrating an integrated circuit (IC) including an airgap layer according to one embodiment of the present disclosure.

도 2를 참조하면, 집적 회로(IC)는 제1 내지 제3 배선층들(M1, M2, M3), 제1 및 제2 절연층들(ILD1, ILD2) 및 제1 및 제2 배리어 층들(BM1, BM2)을 포함할 수 있다. 예를 들어, 집적 회로(IC)는 도 1의 단계 S10에 따라 설계될 수 있고, 단계 S20에 따라 제조될 수 있다.Referring to FIG. 2, the integrated circuit (IC) may include first to third wiring layers (M1, M2, M3), first and second insulating layers (ILD1, ILD2), and first and second barrier layers (BM1, BM2). For example, the integrated circuit (IC) may be designed according to step S10 of FIG. 1, and manufactured according to step S20.

제1 배선층(M1)은 X 방향으로 연장되고, 제1 배리어 층(BM1)은 제1 배선층(M1) 상에 배치되는 복수의 배리어 층들을 포함할 수 있으며, 제1 절연층(ILD1)은 제1 배리어 층(BM1) 상에 배치될 수 있다. 제2 배선층(M2)은 제1 절연층(ILD1) 상에 배치되고 Y 방향으로 연장되며, 제2 배리어 층(BM2)은 제2 배선층(M2) 상에 배치되는 복수의 배리어 층들을 포함할 수 있고, 제2 절연층(ILD2)은 제2 배리어 층(BM2) 상에 배치될 수 있다. 제1 및 제2 절연층들(ILD1, ILD2)은 층간 유전체(Inter Layer Dielectric)이라고 지칭할 수 있다. 제3 배선층(M3)은 제2 절연층(ILD2) 상에 배치되고, X 방향으로 연장된다.A first wiring layer (M1) extends in the X direction, a first barrier layer (BM1) may include a plurality of barrier layers disposed on the first wiring layer (M1), and a first insulating layer (ILD1) may be disposed on the first barrier layer (BM1). A second wiring layer (M2) is disposed on the first insulating layer (ILD1) and extends in the Y direction, a second barrier layer (BM2) may include a plurality of barrier layers disposed on the second wiring layer (M2), and a second insulating layer (ILD2) may be disposed on the second barrier layer (BM2). The first and second insulating layers (ILD1, ILD2) may be referred to as an interlayer dielectric. A third wiring layer (M3) is disposed on the second insulating layer (ILD2) and extends in the X direction.

일 실시예에서, 제2 배선층(M2)은 에어갭 패턴(AGP)을 포함한 에어갭 레이어(AGL)로 할당될 수 있고, 제1 및 제3 배선층들(M1, M3)은 에어갭 패턴(AGP)을 포함하지 않는 일반 레이어들로 할당될 수 있다. 일 실시예에서, 제2 배선층(M2)은 에어갭 레이어(AGL)로 프리 라우팅하고, 제1 및 제3 배선층들(M1, M3)은 일반 레이어로 라우팅할 수 있다. 이와 같이, 제1 내지 제3 배선층들(M1, M2, M3)을 2 단계 배선 기법으로 라우팅할 수 있다.In one embodiment, the second wiring layer (M2) may be allocated as an air gap layer (AGL) including an air gap pattern (AGP), and the first and third wiring layers (M1, M3) may be allocated as general layers not including the air gap pattern (AGP). In one embodiment, the second wiring layer (M2) may be free-routed to the air gap layer (AGL), and the first and third wiring layers (M1, M3) may be routed as general layers. In this way, the first to third wiring layers (M1, M2, M3) may be routed using a two-step wiring technique.

본 실시예에 따르면, 제2 배선층(M2)은 Y 방향으로 연장된 도전 패턴들(CPT) 및 도전 패턴들(CPT) 사이의 에어갭 패턴들(AGP)을 포함할 수 있다. 이때, 도전 패턴들(CPT) 사이의 IMD(Inter Metal Dielectric) 물질을, 공기로 대체함으로써 에어갭 패턴(AGP)을 생성할 수 있다. 공기는 유전 상수가 1로 낮으므로, 에어갭 패턴(AGP)은 도전 패턴들(CPT) 사이의 기생 커패시턴스를 감소시킬 수 있고, 이에 따라, 집적 회로(IC)를 포함하는 칩의 동작 속도를 향상시킬 수 있다. 그러나, 에어갭 패턴(AGP)을 생성하기 위해서는 마스크 비용 등의 공정 비용이 증가하게 되므로, 집적 회로(IC)에 포함되는 제1 내지 제3 배선층들(M1, M2, M3)을 모두 에어갭 레이어들로 구현할 경우, 칩의 제조 비용이 크게 증가하게 된다.According to the present embodiment, the second wiring layer (M2) may include conductive patterns (CPT) extending in the Y direction and air gap patterns (AGP) between the conductive patterns (CPT). At this time, the air gap pattern (AGP) may be generated by replacing the IMD (Inter Metal Dielectric) material between the conductive patterns (CPT) with air. Since air has a low dielectric constant of 1, the air gap pattern (AGP) may reduce the parasitic capacitance between the conductive patterns (CPT), thereby improving the operating speed of a chip including an integrated circuit (IC). However, since process costs such as mask costs increase in order to generate the air gap pattern (AGP), if all of the first to third wiring layers (M1, M2, M3) included in the integrated circuit (IC) are implemented as air gap layers, the manufacturing cost of the chip significantly increases.

본 실시예에 따르면, 집적 회로(IC)에 포함된 전체 레이어, 즉, 제1 내지 제3 배선층들(M1, M2, M3)을 에어갭 레이어들로 구현하지 않고, 타이밍 크리티컬 패쓰의 네트에 대응하는 일부 레이어, 예를 들어, 제2 배선층(M2)만 에어갭 레이어로 구현할 수 있다. 이에 따라, 저 비용으로 집적 회로(IC)의 성능 향상을 극대화시킬 수 있고, 구체적으로, 전체 레이어를 에어갭 레이어들로 구현한 집적 회로와 동등한 수준으로 집적 회로(IC)가 구현된 칩의 동작 속도를 향상시킬 수 있다.According to the present embodiment, instead of implementing all layers included in an integrated circuit (IC), that is, the first to third wiring layers (M1, M2, M3), as air gap layers, only some layers corresponding to a net of a timing critical path, for example, the second wiring layer (M2), can be implemented as air gap layers. Accordingly, the performance improvement of the integrated circuit (IC) can be maximized at low cost, and specifically, the operating speed of a chip in which the integrated circuit (IC) is implemented can be improved to the same level as that of an integrated circuit in which all layers are implemented as air gap layers.

다시 도 1을 참조하면, 단계 S130 이후, 집적 회로를 정의하는 출력 데이터를 반도체 공정 모듈에 제공할 수 있다. 여기서, 출력 데이터는 표준 셀들의 모든 레이아웃 정보, 즉, 모든 레이어들에서 패턴 정보를 포함하는 형식, 예를 들어, GDS(Graphic Design System)II 형식을 가질 수 있다. 또는, 출력 데이터는 표준 셀의 핀과 같이 표준 셀의 외부 정보를 포함하는 형식, 예컨대 LEF 형식 또는 Milkyway 형식을 가질 수도 있다.Referring back to FIG. 1, after step S130, output data defining an integrated circuit may be provided to a semiconductor process module. Here, the output data may have a format including all layout information of standard cells, that is, pattern information in all layers, for example, a GDS (Graphic Design System) II format. Alternatively, the output data may have a format including external information of a standard cell, such as a pin of the standard cell, for example, a LEF format or a Milkyway format.

상술한 바와 같이, 본 실시예에 따르면, 배치된 표준 셀들에 대해 2 단계 배선 기법을 적용하여 라우팅을 수행할 수 있다. 다시 말해, 배치된 표준 셀들에 대한 라우팅은 S120과 같은 제1 라우팅 단계 및 S130과 같은 제2 라우팅 단계를 포함할 수 있다. 구체적으로, 배치된 표준 셀들 내의 타이밍 패쓰들 중 타이밍 크리티컬 패쓰의 적어도 하나의 네트를 에어갭 레이어로 할당하고, 나머지 네트들은 일반 레이어로 할당할 수 있다. 따라서, 적은 수의 에어갭 레이어를 이용하여 고 성능의 집적 회로를 제조할 수 있다.As described above, according to the present embodiment, routing can be performed by applying a two-step routing technique to the placed standard cells. In other words, routing for the placed standard cells can include a first routing step such as S120 and a second routing step such as S130. Specifically, at least one net of timing critical paths among timing paths in the placed standard cells can be assigned to an air gap layer, and the remaining nets can be assigned to general layers. Therefore, a high-performance integrated circuit can be manufactured using a small number of air gap layers.

집적 회로의 설계(S10)는 상술한 단계 S110 내지 S130을 포함할 수 있다. 그러나, 본 발명은 이에 한정되지 않고, 표준 셀 라이브러리의 생성, 표준 셀 라이브러리의 수정, 레이아웃의 검증 등과 같은 일반적인 집적 회로의 설계 방법에 따른 다양한 단계들을 더 포함할 수 있다. 또한, 단계 S110 내지 S130은 집적 회로의 설계 과정 중 백엔드(back-end) 설계 과정에 대응할 수 있고, 단계 S110 이전에 프론트 엔드(front-end) 설계 과정이 수행될 수 있다. 프론트 엔드 설계 과정은 설계 사양의 결정, 행위 수준 모델링 및 검증, RTL 설계, 기능 검증, 논리 합성, 게이트 수준의 검증(또는 프리-레이아웃 시뮬레이션) 등을 포함할 수 있다.The design of the integrated circuit (S10) may include the steps S110 to S130 described above. However, the present invention is not limited thereto, and may further include various steps according to a general integrated circuit design method, such as generation of a standard cell library, modification of the standard cell library, verification of a layout, etc. In addition, steps S110 to S130 may correspond to a back-end design process in a design process of the integrated circuit, and a front-end design process may be performed before step S110. The front-end design process may include determination of design specifications, behavioral level modeling and verification, RTL design, functional verification, logic synthesis, gate-level verification (or pre-layout simulation), etc.

단계 S140에서, 레이아웃을 기초로 마스크를 생성한다. 구체적으로, 먼저 레이아웃을 기초로 OPC(Optical Proximity Correction)를 수행할 수 있는데, OPC는 광 근접 효과에 따른 오차를 반영하여 레이아웃을 변경하는 공정을 말한다. 이어서, OPC 수행 결과에 따라 변경된 레이아웃에 따라 마스크를 제조할 수 있다. 이때, OPC를 반영한 레이아웃, 예컨대, OPC가 반영된 GDS를 이용하여 마스크를 제조할 수 있다.In step S140, a mask is generated based on the layout. Specifically, OPC (Optical Proximity Correction) can be performed based on the layout first, and OPC refers to a process of changing the layout by reflecting an error due to the optical proximity effect. Then, a mask can be manufactured according to the changed layout based on the OPC performance result. At this time, the mask can be manufactured using a layout reflecting OPC, for example, GDS reflecting OPC.

단계 S150에서, 마스크를 이용하여 집적 회로를 제조한다. 구체적으로, 마스크를 이용하여 웨이퍼 등과 같은 반도체 기판 상에 다양한 반도체 공정을 진행하여 집적 회로가 구현된 반도체 장치를 형성한다. 예를 들어, 마스크를 이용하는 공정은 리소그라피(lithography) 공정을 통한 패터닝 공정을 의미할 수 있다. 이러한 패터닝 공정을 통해 반도체 기판이나 물질층 상에 원하는 패턴을 형성할 수 있다. 한편, 반도체 공정은 증착 공정, 식각 공정, 이온 공정, 세정 공정 등을 포함할 수 있다. 또한, 반도체 공정은 반도체 소자를 PCB 상에 실장하고 밀봉재로 밀봉하는 패키징 공정을 포함할 수도 있고, 반도체 소자나 패키지에 대해 테스트를 하는 테스트 공정을 포함할 수도 있다.In step S150, an integrated circuit is manufactured using a mask. Specifically, various semiconductor processes are performed on a semiconductor substrate such as a wafer using the mask to form a semiconductor device in which an integrated circuit is implemented. For example, the process using the mask may mean a patterning process through a lithography process. Through this patterning process, a desired pattern can be formed on a semiconductor substrate or a material layer. Meanwhile, the semiconductor process may include a deposition process, an etching process, an ion process, a cleaning process, etc. In addition, the semiconductor process may include a packaging process for mounting a semiconductor element on a PCB and sealing it with a sealant, or may include a test process for testing the semiconductor element or the package.

도 3은 본 개시의 일 실시예에 따른 집적 회로 설계 시스템(10)을 나타낸다.FIG. 3 illustrates an integrated circuit design system (10) according to one embodiment of the present disclosure.

도 3을 참조하면, 집적 회로 설계 시스템(10)은 프로세서(11), 워킹 메모리(13), 입출력 장치(15), 저장 장치(17) 및 버스(19)를 포함할 수 있다. 집적 회로 설계 시스템(10)은 도 1의 집적 회로 설계 단계(S10)을 수행할 수 있다. 본 실시예에서, 집적 회로 설계 시스템(10)은 일체화된 디바이스로 구현될 수 있고, 이에 따라, 집적 회로 설계 장치라고 지칭할 수도 있다. 집적 회로 설계 시스템(10)은 반도체 장치의 집적 회로를 설계하기 위한 전용 장치로 제공될 수도 있지만, 다양한 시뮬레이션 툴이나 설계 툴들을 구동하기 위한 컴퓨터일 수도 있다.Referring to FIG. 3, the integrated circuit design system (10) may include a processor (11), a working memory (13), an input/output device (15), a storage device (17), and a bus (19). The integrated circuit design system (10) may perform the integrated circuit design step (S10) of FIG. 1. In the present embodiment, the integrated circuit design system (10) may be implemented as an integrated device, and thus, may be referred to as an integrated circuit design device. The integrated circuit design system (10) may be provided as a dedicated device for designing an integrated circuit of a semiconductor device, but may also be a computer for driving various simulation tools or design tools.

프로세서(11)는 집적 회로를 설계하기 위한 다양한 동작 중 적어도 하나를 수행하는 명령어들을 실행하도록 구성될 수 있다. 프로세서(11)는 버스(19)를 통해 워킹 메모리(13), 입출력 장치(15) 및 저장 장치(17)와 통신을 수행할 수 있다. 프로세서(11)는 워킹 메모리(13)에 로딩된 P&R 모듈(13a) 및 타이밍 분석 모듈(13b)을 구동함으로써, 집적 회로의 설계 동작을 실행할 수 있다.The processor (11) may be configured to execute instructions for performing at least one of various operations for designing an integrated circuit. The processor (11) may communicate with a working memory (13), an input/output device (15), and a storage device (17) via a bus (19). The processor (11) may execute a design operation of an integrated circuit by driving a P&R module (13a) and a timing analysis module (13b) loaded into the working memory (13).

워킹 메모리(13)는 P&R 모듈(13a) 및 타이밍 분석 모듈(13b)을 저장할 수 있다. P&R 모듈(13a) 및 타이밍 분석 모듈(13b)은 저장 장치(17)로부터 워킹 메모리(13)로 로딩될 수 있다. 워킹 메모리(130)는 SRAM(Static Random Access Memory)이나 DRAM(Dynamic Random Access Memory)과 같은 휘발성 메모리이거나, PRAM, MRAM, ReRAM, FRAM, NOR 플래시 메모리 등의 비휘발성 메모리일 수 있다.The working memory (13) can store the P&R module (13a) and the timing analysis module (13b). The P&R module (13a) and the timing analysis module (13b) can be loaded from the storage device (17) to the working memory (13). The working memory (130) can be a volatile memory such as SRAM (Static Random Access Memory) or DRAM (Dynamic Random Access Memory), or a nonvolatile memory such as PRAM, MRAM, ReRAM, FRAM, NOR flash memory, etc.

P&R 모듈(13a)은 예를 들어, 도 1의 단계 S110에 따른 배치 동작 및 단계 S120 및 S130에 따른 배선 동작을 수행하기 위한 복수의 명령어들을 포함하는 프로그램일 수 있다. 타이밍 분석 모듈(13b)은 배치된 표준 셀들 내의 모든 타이밍 패쓰들에 대해 타이밍 분석을 수행하여, 타이밍 제약 조건(timing constraints)의 만족 여부를 판단할 수 있다. 예를 들어, 타이밍 분석 모듈(13b)은 STA(Static Timing Analysis) 툴일 수 있다.The P&R module (13a) may be a program including a plurality of commands for performing, for example, a placement operation according to step S110 of FIG. 1 and a wiring operation according to steps S120 and S130. The timing analysis module (13b) may perform timing analysis on all timing paths within the placed standard cells to determine whether timing constraints are satisfied. For example, the timing analysis module (13b) may be a Static Timing Analysis (STA) tool.

입출력 장치(15)는 사용자 인터페이스 장치들로부터의 사용자 입력 및 출력을 제어할 수 있다. 예를 들어, 입출력 장치(15)는 키보드, 마우스, 터치패드 등과 같은 입력 장치를 구비하여, 집적 회로를 정의하는 입력 데이터를 입력 받을 수 있다. 예를 들어, 입출력 장치(15)는 디스플레이, 스피커 등과 같은 출력 장치를 구비하여, 배치 결과, 배선 결과 또는 타이밍 분석 결과 등을 표시할 수 있다.The input/output device (15) can control user input and output from user interface devices. For example, the input/output device (15) can be equipped with input devices such as a keyboard, mouse, touchpad, etc., and can receive input data defining an integrated circuit. For example, the input/output device (15) can be equipped with output devices such as a display, speaker, etc., and can display layout results, wiring results, or timing analysis results.

저장 장치(17)는 P&R 모듈(13a) 및 타이밍 분석 모듈(13b)과 관련된 각종 데이터를 저장할 수 있다. 저장 장치(17)는 메모리 카드(MMC, eMMC, SD, MicroSD 등), 솔리드 스테이트 드라이브(solid state drive), 하드 디스크 드라이브(hard disk drive) 등을 포함할 수 있다.The storage device (17) can store various data related to the P&R module (13a) and the timing analysis module (13b). The storage device (17) can include a memory card (MMC, eMMC, SD, MicroSD, etc.), a solid state drive, a hard disk drive, etc.

도 4는 본 개시의 일 실시예에 따른 집적 회로 설계 시스템(20)을 나타낸다.FIG. 4 illustrates an integrated circuit design system (20) according to one embodiment of the present disclosure.

도 4를 참조하면, 집적 회로 설계 시스템(20)은 사용자 장치(21), 집적 회로 설계 플랫폼(22) 및 저장 장치(23)를 포함할 수 있다. 집적 회로 설계 시스템(20)은 도 1의 집적 회로 설계 단계(S10)을 수행할 수 있다. 본 실시예에서, 사용자 장치(21), 집적 회로 설계 플랫폼(22) 및 저장 장치(23) 중 적어도 하나는 별개의 디바이스일 수 있고, 사용자 장치(21), 집적 회로 설계 플랫폼(22) 및 저장 장치(23)는 유무선 통신 또는 네트워크를 통해 연결될 수 있다. 일 실시예에서, 사용자 장치(21), 집적 회로 설계 플랫폼(22) 및 저장 장치(23) 중 적어도 하나는 서로 이격되어 위치할 수 있다.Referring to FIG. 4, the integrated circuit design system (20) may include a user device (21), an integrated circuit design platform (22), and a storage device (23). The integrated circuit design system (20) may perform the integrated circuit design step (S10) of FIG. 1. In the present embodiment, at least one of the user device (21), the integrated circuit design platform (22), and the storage device (23) may be a separate device, and the user device (21), the integrated circuit design platform (22), and the storage device (23) may be connected via wired or wireless communication or a network. In one embodiment, at least one of the user device (21), the integrated circuit design platform (22), and the storage device (23) may be positioned spaced apart from each other.

사용자 장치(21)는 프로세서(21a) 및 사용자 인터페이스(User Interface, UI)(21b)를 포함할 수 다. 사용자 인터페이스(21a)를 통해 입력되는 사용자 입력에 따라, 프로세서(21a)는 집적 회로 설계 플랫폼(22)을 구동할 수 있다. 집적 회로 설계 플랫폼(22)은 집적 회로의 설계를 위한 컴퓨터로 독출 가능한 명령어의 세트로서, P&R 모듈(22a) 및 타이밍 분석 모듈(22b)을 포함할 수 있다. 저장 장치(23)는 셀 라이브러리 데이터베이스(database, 이하 'DB')(23a) 및 레이아웃 DB(23b)를 포함할 수 있다. 셀 라이브러리 DB(23a)는 집적 회로의 레이아웃을 생성하기 위해 필요한 셀에 대한 정보를 저장하고, 레이아웃 DB(23b)는 P&R 모듈(22a)에서 생성된 레이아웃에 대한 정보, 구체적으로, 레이아웃에 대한 물리적 정보를 저장할 수 있다.The user device (21) may include a processor (21a) and a user interface (UI) (21b). According to a user input input through the user interface (21a), the processor (21a) may drive an integrated circuit design platform (22). The integrated circuit design platform (22) is a set of computer-readable instructions for designing an integrated circuit and may include a P&R module (22a) and a timing analysis module (22b). The storage device (23) may include a cell library database (hereinafter, 'DB') (23a) and a layout DB (23b). The cell library DB (23a) may store information on cells required to generate a layout of an integrated circuit, and the layout DB (23b) may store information on a layout generated by the P&R module (22a), specifically, physical information on the layout.

도 5는 본 개시의 일 실시예에 따른 집적 회로의 설계 방법(S10A)을 나타내는 흐름도이다.FIG. 5 is a flowchart illustrating a design method (S10A) of an integrated circuit according to one embodiment of the present disclosure.

도 5를 참조하면, 본 실시예에 따른 집적 회로 설계 방법(S10A)은 도 1의 집적 회로 설계 방법(S10)의 일 구현 예에 대응할 수 있다. 본 실시예에 따른 집적 회로 설계 방법(S10A)은 예를 들어, 도 3의 집적 회로 설계 시스템(10)에서 프로세서(11)에 의해 또는 도 4의 집적 회로 설계 시스템(20)에서 프로세서(21a)에 의해 수행될 수 있다. 따라서, 도 1 내지 도 4를 참조하여 상술된 내용은 본 실시예에도 적용될 수 있다. Referring to FIG. 5, the integrated circuit design method (S10A) according to the present embodiment may correspond to an implementation example of the integrated circuit design method (S10) of FIG. 1. The integrated circuit design method (S10A) according to the present embodiment may be performed, for example, by a processor (11) in the integrated circuit design system (10) of FIG. 3 or by a processor (21a) in the integrated circuit design system (20) of FIG. 4. Accordingly, the contents described above with reference to FIGS. 1 to 4 may also be applied to the present embodiment.

단계 S210에서, 플로어 플랜(floorplan)을 수행한다. 여기서, 플로어 플랜은 배치 계획 단계로서, 표준 셀들과 매크로 셀들을 어떻게 배치/배선할 것인지 대략적으로 계획하는 작업을 지칭한다. 구체적으로, 플로어 플랜은 전체 칩에서 I/O 패드들, 표준 셀들, RAM 등을 배치하는 단계이다. In step S210, a floor plan is performed. Here, the floor plan is a placement planning step, and refers to a task of roughly planning how to place/wire standard cells and macro cells. Specifically, the floor plan is a step of placing I/O pads, standard cells, RAMs, etc. in the entire chip.

단계 S220에서, 집적 회로를 정의하는 표준 셀들을 배치한다. 이후에, 포스트-배치 최적화(post-placement optimization)이 수행될 수도 있다. 단계 S230에서, 클럭 트리 합성을 수행한다. 여기서, 클럭 트리 합성은 회로의 레이아웃 생성 시, 자동으로 클럭 네트워크를 구성하고, 적절한 위치에 버퍼를 삽입하는 작업을 지칭한다. 단계 S220 및 S230에 의해, 표준 셀들을 배치하고, 클릭 트리 합성을 수행하면, 표준 셀들의 배치가 완료된 것으로 볼 수 있다.In step S220, standard cells defining an integrated circuit are placed. Afterwards, post-placement optimization may be performed. In step S230, clock tree synthesis is performed. Here, clock tree synthesis refers to a task of automatically configuring a clock network and inserting a buffer at an appropriate location when generating a circuit layout. By placing standard cells and performing clock tree synthesis by steps S220 and S230, it can be considered that the placement of the standard cells is completed.

단계 S240에서, 에어갭 레이어들을 선정한다. 일 실시예에서, 배치된 표준 셀들에 포함된 복수의 타이밍 패쓰들 중 타이밍 크리티컬 패쓰를 선별하고, 타이밍 크리티컬 패쓰를 에어갭 레이어로 할당할 수 있다. 단계 S250에서, 타이밍 크리티컬 패쓰 상의 네트들(이하 '타이밍 크리티컬 패쓰 네트들')을 선정한다. 일 실시예에서, 타이밍 크리티컬 패쓰의 일부 범위(range)에 포함된 네트들을 타이밍 크리티컬 패쓰 네트들로 선정할 수 있다.In step S240, air gap layers are selected. In one embodiment, a timing critical path may be selected from among a plurality of timing paths included in the placed standard cells, and the timing critical path may be assigned to an air gap layer. In step S250, nets on the timing critical path (hereinafter, 'timing critical path nets') are selected. In one embodiment, nets included in a portion of a range of the timing critical path may be selected as timing critical path nets.

단계 S260에서, 에어갭 레이어들에 높은 우선 순위를 두고 타이밍 크리티컬 패쓰 네트들을 프리 라우팅한다. 단계 S270에서, 배치된 표준 셀들 내의 타이밍 패쓰들 중 넌-크리티컬 패쓰의 네트들을 라우팅한다. 이와 같이, 본 실시예에 따르면, 집적 회로 설계 방법(S10A)는 2 단계 배선 기법을 적용함으로써, 적은 수의 에어갭 레이어들을 이용하여 고성능의 집적 회로를 구현할 수 있다. In step S260, timing critical path nets are pre-routed with high priority given to air gap layers. In step S270, non-critical path nets among timing paths in the placed standard cells are routed. In this way, according to the present embodiment, the integrated circuit design method (S10A) can implement a high-performance integrated circuit using a small number of air gap layers by applying a two-step wiring technique.

도 6은 본 개시의 일 실시예에 따른 집적 회로의 설계 방법(S10B)을 나타내는 흐름도이다.FIG. 6 is a flowchart illustrating a design method (S10B) of an integrated circuit according to one embodiment of the present disclosure.

도 6을 참조하면, 본 실시예에 따른 집적 회로 설계 방법(S10B)은 도 5의 집적 회로 설계 방법(S10A)의 일 구현 예에 대응할 수 있다. 본 실시예에 따른 집적 회로 설계 방법(S10B)은 예를 들어, 도 3의 집적 회로 설계 시스템(10)에서 프로세서(11)에 의해 또는 도 4의 집적 회로 설계 시스템(20)에서 프로세서(21a)에 의해 수행될 수 있다. 따라서, 도 1 내지 도 4를 참조하여 상술된 내용은 본 실시예에도 적용될 수 있다.Referring to FIG. 6, the integrated circuit design method (S10B) according to the present embodiment may correspond to an implementation example of the integrated circuit design method (S10A) of FIG. 5. The integrated circuit design method (S10B) according to the present embodiment may be performed, for example, by a processor (11) in the integrated circuit design system (10) of FIG. 3 or by a processor (21a) in the integrated circuit design system (20) of FIG. 4. Accordingly, the contents described above with reference to FIGS. 1 to 4 may also be applied to the present embodiment.

단계 S310에서, 집적 회로를 정의하는 복수의 표준 셀들을 배치한다. 구체적으로, 단계 S310은 P&R 툴(예를 들어, 도 3의 13a 또는 도 4의 22a)을 이용하여 수행될 수 있다. 일 실시예에서, 단계 S310은 도 5의 단계 S220에 대응할 수 있다. 또한, 일 실시예에서, 단계 S310은 도 5의 단계 S220 및 S230에 대응할 수도 있다.In step S310, a plurality of standard cells defining an integrated circuit are placed. Specifically, step S310 may be performed using a P&R tool (e.g., 13a of FIG. 3 or 22a of FIG. 4). In one embodiment, step S310 may correspond to step S220 of FIG. 5. Furthermore, in one embodiment, step S310 may also correspond to steps S220 and S230 of FIG. 5.

단계 S320에서, 배치된 표준 셀들에 대해 트라이얼 라우팅(trial routing)을 수행한다. 여기서, 트라이얼 라우팅은 타이밍 크리티컬 패쓰를 선별하기 위한 라우팅을 지칭한다. 단계 S330에서, 타이밍 분석을 수행한다. 구체적으로, 배치된 표준 셀들 내의 다수의 타이밍 패쓰들 중 타이밍 크리티컬 패쓰를 선별하기 위해 타이밍 분석을 수행할 수 있고, 타이밍 분석 결과 분석 데이터를 제공할 수 있다.In step S320, trial routing is performed on the deployed standard cells. Here, trial routing refers to routing for selecting a timing critical path. In step S330, timing analysis is performed. Specifically, timing analysis can be performed to select a timing critical path among a plurality of timing paths in the deployed standard cells, and timing analysis result analysis data can be provided.

여기서, 타이밍 패쓰는 데이터 패쓰, 클럭 패쓰, 클럭 게이팅 패쓰 및 비동기 패쓰로 구분될 수 있고, 각 타이밍 패쓰는 시작 지점(start point)과 종료 지점(end point)을 갖는다. 구체적으로, 타이밍 패쓰는 입력 패드와 출력 패드 사이, 입력 패드와 플립플롭의 데이터 입력 사이, 플립플롭의 데이터 출력과 다른 플립플롭의 데이터 입력 사이, 그리고 플립플롭의 데이터 출력과 출력 패드 사이와 같은, 집적 회로의 부분들 사이의 조합적인 로직 및 인터커넥트를 지칭할 수 있다. 타이밍 패쓰를 통한 딜레이는 집적 회로의 중요한 매개 변수인데, 왜냐하면 집적 회로의 동작 속도는 타이밍 패쓰를 통한 딜레이에 따라 결정될 수 있기 때문이다.Here, the timing path can be divided into a data path, a clock path, a clock gating path, and an asynchronous path, and each timing path has a start point and an end point. Specifically, the timing path can refer to combinational logic and interconnect between parts of the integrated circuit, such as between an input pad and an output pad, between an input pad and a data input of a flip-flop, between a data output of a flip-flop and a data input of another flip-flop, and between a data output of a flip-flop and an output pad. The delay through the timing path is an important parameter of the integrated circuit, because the operating speed of the integrated circuit can be determined by the delay through the timing path.

여기서, 타이밍 크리티컬 패쓰는 입력(즉, 시작 지점)에서 출력(즉, 종료 지점)까지의 전체 타이밍 딜레이가 타이밍 요구 조건(timing constraints)을 초과하는 타이밍 패쓰를 지칭할 수 있다. 일 실시예에서, 타이밍 크리티컬 패쓰는 최대 딜레이를 갖는 타이밍 패쓰를 지칭할 수 있다. 이하에서는, 도 7을 참조하여 타이밍 분석에 대해 더욱 상세하게 설명하기로 한다.Here, a timing critical path may refer to a timing path in which the total timing delay from an input (i.e., a starting point) to an output (i.e., an ending point) exceeds timing constraints. In one embodiment, a timing critical path may refer to a timing path having a maximum delay. Hereinafter, timing analysis will be described in more detail with reference to FIG. 7.

도 7은 본 개시의 일 실시예에 따른 타이밍 분석 결과를 나타내는 그래프이다. FIG. 7 is a graph showing the results of timing analysis according to one embodiment of the present disclosure.

도 7을 참조하면, 가로축은 슬랙(slack)을 나타내고, 세로축은 타이밍 패쓰들의 개수를 나타낸다. 여기서, 슬랙은 타이밍 요구 조건에 따른 원하는(required) 시간과 실제 도착(arrive) 시간 사이의 차이를 나타내며, 타이밍 분석기 또는 타이밍 분석 모듈(예를 들어, 도 3의 13b 또는 도 4의 22b)을 이용하여 측정될 수 있다. 구체적으로, 포지티브 슬랙은 타이밍 요구 조건을 만족해서 타이밍 위반(violation)이 없는 것이고, 반면 네거티브 슬랙은 타이밍 요구 조건을 만족하지 못해서 타이밍 위반이 발생한 것을 나타낸다. 따라서, 도 7에서 네거티브 슬랙에 해당하는 타이밍 패쓰들을 타이밍 크리티컬 패쓰(TCP)에 대응할 수 있다.Referring to FIG. 7, the horizontal axis represents slack, and the vertical axis represents the number of timing paths. Here, the slack represents the difference between the required time and the actual arrival time according to the timing requirement, and can be measured using a timing analyzer or a timing analysis module (for example, 13b of FIG. 3 or 22b of FIG. 4). Specifically, positive slack represents that the timing requirement is satisfied and therefore there is no timing violation, whereas negative slack represents that the timing requirement is not satisfied and therefore a timing violation occurs. Therefore, timing paths corresponding to negative slack in FIG. 7 can correspond to a timing critical path (TCP).

다시 도 6를 참조하면, 단계 S340에서, 타이밍 크리티컬 패쓰의 네트들을 선택한다. 구체적으로, 단계 S330에서 획득한 분석 데이터를, S310에서 배치된 표준 셀들에, 즉, 단계 S320의 트라이얼 라우팅이 수행되기 전의 상태에 적용함으로써, 타이밍 크리티컬 패쓰에 포함된 복수의 네트들 중 적어도 하나의 네트를 선택할 수 있다. 예를 들어, 타이밍 크리티컬 패쓰의 특정 범위에 대응하는 네트들을 선택할 수 있다.Referring back to FIG. 6, in step S340, nets of the timing critical path are selected. Specifically, by applying the analysis data acquired in step S330 to the standard cells arranged in S310, i.e., in a state before the trial routing of step S320 is performed, at least one net among the plurality of nets included in the timing critical path can be selected. For example, nets corresponding to a specific range of the timing critical path can be selected.

단계 S350에서, 선택된 네트들을 에어갭 레이어로 라우팅한다. 일 실시예에서, 선택된 네트는 제1 배선층에 포함된 제1 도전 패턴, 제1 도전 패턴에 전기적으로 연결된 비아, 및 제2 배선층에 포함되고 비아에 전기적으로 연결된 제2 도전 패턴에 대응할 수 있다. 일 실시예에서, 제1 도전 패턴의 양 옆에 에어갭 패턴들을 배치하고, 제2 도전 패턴의 양 옆에 에어갭 패턴들을 배치함으로써, 선택된 네트를 두 개의 에어갭 레이어들로 라우팅할 수 있다. 이하에서는 도 8 및 도 9a 내지 도 9c을 참조하여 단계 S350에 대해 더욱 상세하게 설명하기로 한다.In step S350, the selected nets are routed to the air gap layer. In one embodiment, the selected net may correspond to a first conductive pattern included in a first wiring layer, a via electrically connected to the first conductive pattern, and a second conductive pattern included in a second wiring layer and electrically connected to the via. In one embodiment, the air gap patterns are arranged on both sides of the first conductive pattern, and the air gap patterns are arranged on both sides of the second conductive pattern, thereby routing the selected nets to two air gap layers. Hereinafter, step S350 will be described in more detail with reference to FIGS. 8 and 9A to 9C.

도 8은 본 개시의 일 실시예에 따라 에어갭 레이어(AGL)를 이용하여 라우팅된 배선 구조(81)를 나타낸다. FIG. 8 illustrates a wiring structure (81) routed using an air gap layer (AGL) according to one embodiment of the present disclosure.

도 8을 참조하면, 배선 구조(81)는 타이밍 크리티컬 패쓰에 대응되고, 배선 구조(81)의 일부 영역에 해당하는 제5 및 제6 배선층들(M5, M6)만 에어갭 레이어(AGL)로 라우팅될 수 있다. 여기서, 제5 및 제6 배선층들(M5, M6)은 타이밍 크리티컬 패쓰의 선택된 네트에 대응할 수 있다. 제1 배선층(M1)은 제1 및 제2 핀들(P1, P2)을 포함할 수 있고, 예를 들어, 제1 및 제2 핀들(P1, P2)은 타이밍 크리티컬 패쓰의 입력 핀(또는 시작 지점) 및 출력 핀(또는 종료 지점)에 각각 대응할 수 있다.Referring to FIG. 8, the wiring structure (81) corresponds to a timing critical path, and only the fifth and sixth wiring layers (M5, M6) corresponding to a part of the wiring structure (81) can be routed to the air gap layer (AGL). Here, the fifth and sixth wiring layers (M5, M6) can correspond to selected nets of the timing critical path. The first wiring layer (M1) can include first and second pins (P1, P2), and for example, the first and second pins (P1, P2) can correspond to input pins (or starting points) and output pins (or ending points) of the timing critical path, respectively.

타이밍 크리티컬 패쓰는 배치된 표준 셀들 내의 타이밍 패쓰들 중 타이밍 제약 조건을 만족하지 않는 타이밍 패쓰이다. 따라서, 타이밍 크리티컬 패쓰에서 도전 패턴들 사이의 기생 커패시턴스는 집적 회로 및 이를 포함하는 칩의 성능, 구체적으로, 동작 속도에 큰 영향을 미칠 수 있다. 본 실시예에 따르면, 타이밍 크리티컬 패쓰에 포함된 네트는 에어갭 레이어로 프리 라우팅하고, 구체적으로, 타이밍 크리티컬 패쓰에 포함된 네트를 구성하는 배선층의 양 옆에는 에어갭 패턴을 배치할 수 있다. A timing-critical path is a timing path among timing paths in placed standard cells that does not satisfy timing constraints. Therefore, parasitic capacitance between conductive patterns in a timing-critical path can have a significant impact on the performance of an integrated circuit and a chip including the same, specifically, on the operating speed. According to the present embodiment, a net included in a timing-critical path can be pre-routed to an air-gap layer, and specifically, an air-gap pattern can be placed on both sides of a wiring layer forming a net included in the timing-critical path.

본 실시예에 따르면, 타이밍 크리티컬 패쓰의 선택된 네트는 에어갭 레이어로 프리 라우팅함으로써, 타이밍 크리티컬 패쓰의 선택된 네트를 구성하는 도전 패턴들 사이의 기생 커패시턴스가 감소될 수 있다. 따라서, 타이밍 크리티컬 패쓰의 전체 타이밍 딜레이가 감소함으로써, 타이밍 크리티컬 패쓰는 타이밍 제약 조건을 만족할 수 있다. 그러므로, 집적 회로 및 이를 포함하는 칩의 동작 속도를 크게 향상시킬 수 있다.According to the present embodiment, the selected net of the timing critical path can be pre-routed to the air gap layer, thereby reducing the parasitic capacitance between the conductive patterns constituting the selected net of the timing critical path. Accordingly, the overall timing delay of the timing critical path is reduced, so that the timing critical path can satisfy the timing constraint. Therefore, the operating speed of the integrated circuit and the chip including the same can be significantly improved.

도 9a 내지 도 9c는 본 개시의 일 실시예에 따라 에어갭 레이어로 라우팅한 타이밍 크리티컬 패쓰 네트들을 각각 나타내는 사시도들이다. 예를 들어, 도 9a 내지 도 9c에 예시된 타이밍 크리티컬 패쓰 네트들은 도 8의 제5 및 제6 배선층들(M5, M6)에 대응할 수 있다. FIGS. 9A to 9C are perspective views each showing timing critical path nets routed to an air gap layer according to one embodiment of the present disclosure. For example, the timing critical path nets illustrated in FIGS. 9A to 9C may correspond to the fifth and sixth wiring layers (M5, M6) of FIG. 8.

도 9a를 참조하면, 타이밍 크리티컬 패쓰 네트(100)는 제1 연결 지점(connection point)(CP1)과 제2 연결 지점(CP2)을 연결하는 네트일 수 있다. 타이밍 크리티컬 패쓰 네트(100)는 제1 연결 지점(CP1)에 전기적으로 연결된 제5 배선층(M5), 제5 배선층(M5) 상에 배치되어 제5 배선층(M5)과 전기적으로 연결된 비아(V5), 비아(V5) 상에 배치되어 비아(V5)와 전기적으로 연결된 제6 배선층(M6)을 포함할 수 있다. 이때, 제5 배선층(M5)은 Y 방향으로 연장되고, 제6 배선층(M6)은 X 방향으로 연장되며, X 방향과 Y 방향은 실질적으로 서로 수직일 수 있다. 예를 들어, 제5 및 제6 배선층들(M5, M6)은 각각 도 8의 제5 및 제6 배선층들(M5, M6)에 대응할 수 있다. Referring to FIG. 9a, a timing critical path net (100) may be a net connecting a first connection point (CP1) and a second connection point (CP2). The timing critical path net (100) may include a fifth wiring layer (M5) electrically connected to the first connection point (CP1), a via (V5) disposed on the fifth wiring layer (M5) and electrically connected to the fifth wiring layer (M5), and a sixth wiring layer (M6) disposed on the via (V5) and electrically connected to the via (V5). At this time, the fifth wiring layer (M5) extends in the Y direction, the sixth wiring layer (M6) extends in the X direction, and the X direction and the Y direction may be substantially perpendicular to each other. For example, the fifth and sixth wiring layers (M5, M6) may correspond to the fifth and sixth wiring layers (M5, M6) of FIG. 8, respectively.

본 실시예에서, 제5 및 제6 배선층들(M5, M6)은 모두 에어갭 레이어들로 구현될 수 있다. 구체적으로, 제5 배선층(M5)의 양 옆에 에어갭 패턴들(AGP1, AGP1')이 배치되고, 제6 배선층(M6)의 양 옆에 에어갭 패턴들(AGP2, AGP2')이 배치될 수 있다. 그러나, 본 발명은 이에 한정되지 않으며, 일부 실시예들에서, 제5 배선층(M5)의 일 측에만 에어갭 패턴이 배치되고, 제5 배선층(M5)의 타 측에는 일반 유전 물질로 이루어진 IMD가 배치될 수도 있다. 마찬가지로, 제6 배선층(M6)의 일 측에만 에어갭 패턴이 배치되고, 제6 배선층(M6)의 타 측에는 일반 유전 물질로 이루어진 IMD가 배치될 수도 있다. In the present embodiment, both the fifth and sixth wiring layers (M5, M6) may be implemented as air gap layers. Specifically, air gap patterns (AGP1, AGP1') may be arranged on both sides of the fifth wiring layer (M5), and air gap patterns (AGP2, AGP2') may be arranged on both sides of the sixth wiring layer (M6). However, the present invention is not limited thereto, and in some embodiments, an air gap pattern may be arranged only on one side of the fifth wiring layer (M5), and an IMD made of a general dielectric material may be arranged on the other side of the fifth wiring layer (M5). Similarly, an air gap pattern may be arranged only on one side of the sixth wiring layer (M6), and an IMD made of a general dielectric material may be arranged on the other side of the sixth wiring layer (M6).

본 실시예에서, 에어갭 패턴들(AGP1, AGP1')은 Y 방향으로 연장되고, 에어갭 패턴들(AGP2, AGP2')은 X 방향으로 연장될 수 있다. 본 실시예에 따르면, 크리티컬 패쓰 네트(100)는 양방향 에어갭 레이어로 구현될 수 있다. 따라서, Z 방향으로 인접한, 연속하는(consecutive) 두 개의 배선층들인 제5 및 제6 배선층들(M5, M6)을 모두 에어갭 레이어들로 구현할 수 있다. 이와 같이, 양방향 에어갭 레이어를 이용함으로써, 크리티컬 패쓰 네트(100)의 제1 및 제2 연결 지점들(CP1, CP2)의 위치와 무관하게 에어갭 볼륨(volume)이 거의 100%에 가까운 최대가 되어, 집적 회로의 성능 이득이 최대가 된다.In the present embodiment, the air gap patterns (AGP1, AGP1') may extend in the Y direction, and the air gap patterns (AGP2, AGP2') may extend in the X direction. According to the present embodiment, the critical path net (100) may be implemented with bidirectional air gap layers. Accordingly, both the fifth and sixth wiring layers (M5, M6), which are two consecutive wiring layers adjacent in the Z direction, may be implemented with air gap layers. In this way, by utilizing the bidirectional air gap layers, the air gap volume becomes a maximum of almost 100% regardless of the positions of the first and second connection points (CP1, CP2) of the critical path net (100), thereby maximizing the performance gain of the integrated circuit.

도 9b를 참조하면, 타이밍 크리티컬 패쓰 네트(100a)는 도 9a의 변형 예로서, 타이밍 크리티컬 패쓰 네트(100a)는 제5 배선층(M5), 비아(V5) 및 제6 배선층(M6)을 포함할 수 있다. 본 실시예예서, 제5 및 제6 배선층들(M5, M6) 중 제5 배선층(M5)만 에어갭 레이어로 구현될 수 있다. 구체적으로, 제5 배선층(M5)의 양 옆에 에어갭 패턴들(AGP1, AGP1')이 배치되고, 제6 배선층(M6)의 양 옆에는 일반 유전 물질로 이루어진 IMD이 배치될 수 있다. 그러나, 본 발명은 이에 한정되지 않으며, 일부 실시예들에서, 제5 배선층(M5)의 일 측에만 에어갭 패턴이 배치되고, 제5 배선층(M5)의 타 측에는 일반 유전 물질로 이루어진 IMD가 배치될 수도 있다.Referring to FIG. 9b, the timing critical path net (100a) is a modified example of FIG. 9a, and the timing critical path net (100a) may include a fifth wiring layer (M5), a via (V5), and a sixth wiring layer (M6). In the present embodiment, among the fifth and sixth wiring layers (M5, M6), only the fifth wiring layer (M5) may be implemented as an air gap layer. Specifically, air gap patterns (AGP1, AGP1') may be arranged on both sides of the fifth wiring layer (M5), and an IMD made of a general dielectric material may be arranged on both sides of the sixth wiring layer (M6). However, the present invention is not limited thereto, and in some embodiments, an air gap pattern may be arranged only on one side of the fifth wiring layer (M5), and an IMD made of a general dielectric material may be arranged on the other side of the fifth wiring layer (M5).

도 9c를 참조하면, 타이밍 크리티컬 패쓰 네트(100b)는 도 9a의 변형 예로서, 타이밍 크리티컬 패쓰 네트(100b)는 제5 배선층(M5), 비아(V5) 및 제6 배선층(M6)을 포함할 수 있다. 본 실시예예서, 제5 및 제6 배선층들(M5, M6) 중 제6 배선층(M6)만 에어갭 레이어로 구현될 수 있다. 구체적으로, 제6 배선층(M6)의 양 옆에 에어갭 패턴들(AGP2, AGP2')이 배치되고, 제5 배선층(M5)의 양 옆에는 일반 유전 물질로 이루어진 IMD이 배치될 수 있다. 그러나, 본 발명은 이에 한정되지 않으며, 일부 실시예들에서, 제6 배선층(M6)의 일 측에만 에어갭 패턴이 배치되고, 제6 배선층(M6)의 타 측에는 일반 유전 물질로 이루어진 IMD가 배치될 수도 있다.Referring to FIG. 9c, the timing critical path net (100b) is a modified example of FIG. 9a, and the timing critical path net (100b) may include a fifth wiring layer (M5), a via (V5), and a sixth wiring layer (M6). In the present embodiment, among the fifth and sixth wiring layers (M5, M6), only the sixth wiring layer (M6) may be implemented as an air gap layer. Specifically, air gap patterns (AGP2, AGP2') may be arranged on both sides of the sixth wiring layer (M6), and an IMD made of a general dielectric material may be arranged on both sides of the fifth wiring layer (M5). However, the present invention is not limited thereto, and in some embodiments, an air gap pattern may be arranged only on one side of the sixth wiring layer (M6), and an IMD made of a general dielectric material may be arranged on the other side of the sixth wiring layer (M6).

도 9a 내지 도 9c를 참조하여 상술한 바와 같이, 선택된 네트에 대한 프리 라우팅은 다양하게 수행될 수 있다. 예를 들어, 타이밍 분석 결과를 기초로 슬랙의 크기가 어느 정도인지에 따라 에어갭 레이어들의 개수 및/또는 에어갭 패턴들의 개수를 가변적으로 결정할 수 있다. 또한, 타이밍 제약 조건들뿐 아니라, 파워 제약 조건들 또는 면적 제약 조건들 등과 같은 다른 제약 조건들을 더 고려하여 에어갭 레이어들의 개수 및/또는 에어갭 패턴들의 개수를 가변적으로 결정할 수 있다.As described above with reference to FIGS. 9A to 9C, the free routing for the selected net can be performed in various ways. For example, the number of air gap layers and/or the number of air gap patterns can be variably determined depending on the size of the slack based on the timing analysis results. In addition, the number of air gap layers and/or the number of air gap patterns can be variably determined by further considering other constraints, such as power constraints or area constraints, in addition to timing constraints.

다시 도 7을 참조하면, 단계 S360에서, 비선택된 네트들을 라우팅한다. 일 실시예에서, 비선택된 네트들은 표준 셀들 내의 타이밍 패쓰들 중 넌-크리티컬 패쓰들에 포함된 네트들을 포함할 수 있다. 일 실시예에서, 비선택된 네트들은 타이밍 크리티컬 패쓰에 포함된 네트들 중 단계 S340에서 선택된 네트들 이외의 네트들을 더 포함할 수 있다. Referring back to FIG. 7, in step S360, unselected nets are routed. In one embodiment, the unselected nets may include nets included in non-critical paths among timing paths within standard cells. In one embodiment, the unselected nets may further include nets other than the nets selected in step S340 among the nets included in timing critical paths.

일 실시예에서, 비선택된 네트는 제1 배선층에 포함된 제1 도전 패턴, 제1 도전 패턴에 전기적으로 연결된 비아, 및 제2 배선층에 포함되고 비아에 전기적으로 연결된 제2 도전 패턴에 대응할 수 있고, 이때, 제1 및 제2 도전 패턴들 각각의 양 옆에 에어갭 패턴들 대신 일반 유전 물질을 배치함으로써, 비선택된 네트를 라우팅할 수 있다. 이하에서는 도 10을 참조하여 단계 S360에 대해 더욱 상세하게 설명하기로 한다.In one embodiment, the unselected net may correspond to a first conductive pattern included in a first wiring layer, a via electrically connected to the first conductive pattern, and a second conductive pattern included in a second wiring layer and electrically connected to the via, wherein the unselected net may be routed by arranging a general dielectric material instead of air gap patterns on both sides of each of the first and second conductive patterns. Step S360 will be described in more detail below with reference to FIG. 10.

도 10은 본 개시의 일 실시예에 따라 일반 레이어를 이용하여 라우팅된 배선 구조(101)를 나타낸다. FIG. 10 illustrates a wiring structure (101) routed using a general layer according to one embodiment of the present disclosure.

도 10을 참조하면, 배선 구조(101)는 넌-크리티컬 패쓰에 대응되고, 배선 구조(101)에 포함되는 제1 내지 제6 배선층들(M1 내지 M6)은 일반 레이어로 라우팅될 수 있다. 제1 배선층(M1)은 제1 및 제2 핀들(P1, P2)을 포함할 수 있고, 예를 들어, 제1 및 제2 핀들(P1, P2)은 넌-크리티컬 패쓰의 입력 핀(또는 시작 지점) 및 출력 핀(또는 종료 지점)에 각각 대응할 수 있다.Referring to FIG. 10, the wiring structure (101) corresponds to a non-critical path, and the first to sixth wiring layers (M1 to M6) included in the wiring structure (101) can be routed as general layers. The first wiring layer (M1) can include first and second pins (P1, P2), and for example, the first and second pins (P1, P2) can correspond to an input pin (or starting point) and an output pin (or ending point) of the non-critical path, respectively.

넌-크리티컬 패쓰는 배치된 표준 셀들 내의 타이밍 패쓰들 중 타이밍 제약 조건을 만족하는 타이밍 패쓰이다. 따라서, 넌-크리티컬 패쓰에서 도전 패턴들 사이의 기생 커패시턴스는 집적 회로 및 이를 포함하는 칩의 성능, 구체적으로, 동작 속도에 큰 영향을 미치지 않을 수 있다. 그러므로, 본 실시예에 따르면, 넌-크리티컬 패쓰에 포함된 네트들은 일반 레이어로 라우팅하고, 구체적으로, 넌-크리티컬 패쓰에 포함된 네트들을 구성하는 배선층의 양 옆에는 일반 유전 물질을 포함하는 IMD를 배치할 수 있다. A non-critical path is a timing path that satisfies timing constraints among timing paths in placed standard cells. Therefore, parasitic capacitance between conductive patterns in a non-critical path may not have a significant effect on the performance of an integrated circuit and a chip including the same, specifically, on the operating speed. Therefore, according to the present embodiment, nets included in a non-critical path may be routed to a general layer, and specifically, an IMD including a general dielectric material may be placed on both sides of a wiring layer forming the nets included in the non-critical path.

본 실시예에 따르면, 넌-크리티컬 패쓰의 네트들 및 타이밍 크리티컬 패쓰의 비선택된 네트들을 일반 레이어로 라우팅함으로써, 집적 회로를 제조하는데 필요한 에어갭 레이어의 개수를 줄일 수 있고, 이에 따라, 집적 회로의 제조 비용을 줄일 수 있다. 또한, 본 실시예에 따르면, 타이밍 크리티컬 패쓰의 선택된 네트는 에어갭 레이어로 프리 라우팅함으로써, 집적 회로 및 이를 포함하는 칩의 동작 속도를 크게 향상시킬 수 있다.According to the present embodiment, by routing the nets of the non-critical path and the unselected nets of the timing-critical path to a general layer, the number of airgap layers required for manufacturing the integrated circuit can be reduced, thereby reducing the manufacturing cost of the integrated circuit. In addition, according to the present embodiment, by pre-routing the selected nets of the timing-critical path to the airgap layer, the operating speed of the integrated circuit and the chip including the same can be significantly improved.

다시 도 7을 참조하면, 단계 S370에서, 포스트-라우트 최적화(post-route optimization)를 수행한다. 포스트-라우트 최적화는 라우팅이 완료된 이후에 존재하는 타이밍과 디자인 룰 위반을 픽스(fix)시킨다. 포스트-라우트 최적화 이후에는 ECO 라우팅을 수행하여 네트리스트의 변경 사항을 레이아웃에 반영함으로써 최종 레이아웃을 생성할 수 있다.Referring back to FIG. 7, at step S370, post-route optimization is performed. Post-route optimization fixes timing and design rule violations that exist after routing is completed. After post-route optimization, ECO routing is performed to reflect changes in the netlist to the layout, thereby generating the final layout.

도 11a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로(200)를 나타내는 평면도이고, 도 11b는 도 11a의 집적 회로(200)를 나타내는 사시도이다.FIG. 11a is a plan view showing an integrated circuit (200) routed by applying an air gap pattern according to one embodiment of the present disclosure, and FIG. 11b is a perspective view showing the integrated circuit (200) of FIG. 11a.

도 11a 및 도 11b를 참조하면, 집적 회로(200)는 제1 및 제2 연결 지점들(210, 215) 및 도전 패턴(220)으로 구성된 하나의 네트에 대응할 수 있다. 이때, 제1 및 제2 연결 지점들(210, 215)은 동일 층에 배치될 수 있고, Y 좌표가 동일하고 X 좌표는 서로 다를 수 있다. 구체적으로, 집적 회로(200)는 제1 및 제2 연결 지점들(210, 215) 사이의 도전 패턴(220) 및 도전 패턴(220)의 양 옆에 배치된 에어갭 패턴들(230, 235)을 포함할 수 있다. Referring to FIGS. 11A and 11B, the integrated circuit (200) may correspond to one net composed of first and second connection points (210, 215) and a conductive pattern (220). At this time, the first and second connection points (210, 215) may be arranged on the same layer, and the Y coordinates may be the same and the X coordinates may be different from each other. Specifically, the integrated circuit (200) may include a conductive pattern (220) between the first and second connection points (210, 215) and air gap patterns (230, 235) arranged on both sides of the conductive pattern (220).

본 실시예에서, 도전 패턴(220)은 타이밍 크리티컬 패쓰를 구성할 수 있고, 이에 따라, 도전 패턴(220)의 양 옆에 에어갭 패턴들(230, 235)을 배치할 수 있다. 도전 패턴(220)은 X 방향으로 연장되고, 이에 따라, 에어갭 패턴들(230, 235)도 X 방향으로 연장될 수 있다. 예를 들어, 도전 패턴(220)은 도 8의 제5 또는 제6 배선층(M5 또는 M6)에 대응할 수 있다.In the present embodiment, the conductive pattern (220) may constitute a timing critical path, and thus, air gap patterns (230, 235) may be arranged on both sides of the conductive pattern (220). The conductive pattern (220) extends in the X direction, and thus, the air gap patterns (230, 235) may also extend in the X direction. For example, the conductive pattern (220) may correspond to the fifth or sixth wiring layer (M5 or M6) of FIG. 8.

도 12a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로(300)를 나타내는 평면도이고, 도 12b는 도 12a의 집적 회로(300)를 나타내는 사시도이다.FIG. 12a is a plan view showing an integrated circuit (300) routed by applying an air gap pattern according to one embodiment of the present disclosure, and FIG. 12b is a perspective view showing the integrated circuit (300) of FIG. 12a.

도 12a 및 도 12b를 참조하면, 집적 회로(300)는 제1 및 제2 연결 지점들(310, 315) 및 제1 및 제2 도전 패턴들(320, 350)으로 구성된 하나의 네트에 대응할 수 있다. 이때, 제1 및 제2 연결 지점들(310, 315)은 서로 다른 층들에 각각 배치될 수 있고, Y 좌표가 동일하고 X 좌표는 서로 다를 수 있다. 구체적으로, 집적 회로(300)는 제1 연결 지점(310)에 연결된 제1 도전 패턴(320), 제1 도전 패턴(320) 상의 제1 및 제2 비아들(340, 345), 제2 비아(345) 상의 제2 도전 패턴(350), 제1 도전 패턴(320)의 양 옆에 배치된 제1 에어갭 패턴들(330, 335) 및 제2 도전 패턴(350)의 양 옆에 배치된 제2 에어갭 패턴들(360, 365)을 포함할 수 있다.Referring to FIGS. 12A and 12B, the integrated circuit (300) may correspond to one net composed of first and second connection points (310, 315) and first and second conductive patterns (320, 350). At this time, the first and second connection points (310, 315) may be arranged in different layers, respectively, and the Y coordinate may be the same and the X coordinate may be different. Specifically, the integrated circuit (300) may include a first conductive pattern (320) connected to a first connection point (310), first and second vias (340, 345) on the first conductive pattern (320), a second conductive pattern (350) on the second via (345), first air gap patterns (330, 335) arranged on both sides of the first conductive pattern (320), and second air gap patterns (360, 365) arranged on both sides of the second conductive pattern (350).

본 실시예에서, 제1 및 제2 도전 패턴들(320, 350)은 타이밍 크리티컬 패쓰를 구성할 수 있고, 이에 따라, 제1 도전 패턴(320)의 양 옆에 제1 에어갭 패턴들(330, 335)을 배치하고, 제2 도전 패턴(350)의 양 옆에 제2 에어갭 패턴들(360, 365)을 배치할 수 있다. 제1 도전 패턴(320)은 X 방향으로 연장되고, 제1 에어갭 패턴들(330, 335)도 X 방향으로 연장될 수 있다. 제2 도전 패턴(350)은 X 방향으로 연장되고, 제2 에어갭 패턴들(360, 365)도 X 방향으로 연장될 수 있다. 예를 들어, 제1 및 제2 도전 패턴들(320, 350)은 도 8의 제5 및 제6 배선층들(M5, M6)에 각각 대응할 수 있다. In the present embodiment, the first and second conductive patterns (320, 350) may form a timing critical path, and accordingly, the first air gap patterns (330, 335) may be arranged on both sides of the first conductive pattern (320), and the second air gap patterns (360, 365) may be arranged on both sides of the second conductive pattern (350). The first conductive pattern (320) may extend in the X direction, and the first air gap patterns (330, 335) may also extend in the X direction. The second conductive pattern (350) may extend in the X direction, and the second air gap patterns (360, 365) may also extend in the X direction. For example, the first and second conductive patterns (320, 350) may correspond to the fifth and sixth wiring layers (M5, M6) of FIG. 8, respectively.

도 13a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로(400)를 나타내는 평면도이고, 도 13b는 도 13a의 집적 회로(400)를 나타내는 사시도이다.FIG. 13a is a plan view showing an integrated circuit (400) routed by applying an air gap pattern according to one embodiment of the present disclosure, and FIG. 13b is a perspective view showing the integrated circuit (400) of FIG. 13a.

도 13a 및 도 13b를 참조하면, 집적 회로(400)는 제1 및 제2 연결 지점들(410, 415) 및 도전 패턴(420)으로 구성된 하나의 네트에 대응할 수 있다. 이때, 제1 및 제2 연결 지점들(410, 415)은 동일 층에 배치될 수 있고, X 좌표가 동일하고 Y 좌표는 서로 다를 수 있다. 구체적으로, 집적 회로(400)는 제1 및 제2 연결 지점들(410, 415) 사이의 도전 패턴(420) 및 도전 패턴(420)의 양 옆에 배치된 에어갭 패턴들(430, 435)을 포함할 수 있다. Referring to FIGS. 13A and 13B, the integrated circuit (400) may correspond to one net composed of first and second connection points (410, 415) and a conductive pattern (420). At this time, the first and second connection points (410, 415) may be arranged on the same layer, and the X coordinates may be the same and the Y coordinates may be different from each other. Specifically, the integrated circuit (400) may include a conductive pattern (420) between the first and second connection points (410, 415) and air gap patterns (430, 435) arranged on both sides of the conductive pattern (420).

본 실시예에서, 도전 패턴(420)은 타이밍 크리티컬 패쓰를 구성할 수 있고, 이에 따라, 도전 패턴(420)의 양 옆에 에어갭 패턴들(430, 435)을 배치할 수 있다. 도전 패턴(420)은 Y 방향으로 연장되고, 에어갭 패턴들(430, 435)도 Y 방향으로 연장될 수 있다. 예를 들어, 도전 패턴(420)은 도 8의 제5 또는 제6 배선층(M5 또는 M6)에 대응할 수 있다.In the present embodiment, the conductive pattern (420) may constitute a timing critical path, and accordingly, air gap patterns (430, 435) may be arranged on both sides of the conductive pattern (420). The conductive pattern (420) may extend in the Y direction, and the air gap patterns (430, 435) may also extend in the Y direction. For example, the conductive pattern (420) may correspond to the fifth or sixth wiring layer (M5 or M6) of FIG. 8.

도 14a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로(500)를 나타내는 평면도이고, 도 14b는 도 14a의 집적 회로(500)를 나타내는 사시도이며, 도 14c는 도 14a에 대한 비교예에 따른 집적 회로(500')를 나타낸다.FIG. 14a is a plan view showing an integrated circuit (500) routed by applying an air gap pattern according to one embodiment of the present disclosure, FIG. 14b is a perspective view showing the integrated circuit (500) of FIG. 14a, and FIG. 14c shows an integrated circuit (500') according to a comparative example for FIG. 14a.

도 14a 및 도 14b를 참조하면, 집적 회로(500)는 제1 및 제2 연결 지점들(510, 515) 및 제1 및 제2 도전 패턴들(520, 550)으로 구성된 하나의 네트에 대응할 수 있다. 이때, 제1 및 제2 연결 지점들(510, 515)은 서로 다른 층들에 각각 배치될 수 있고, X 좌표가 동일하고 Y 좌표는 서로 다를 수 있다. 구체적으로, 집적 회로(500)는 제1 연결 지점(510)에 연결된 제1 도전 패턴(520), 제1 도전 패턴(520) 상의 제1 및 제2 비아들(540, 545), 제2 비아(545) 상의 제2 도전 패턴(550), 제1 도전 패턴(520)의 양 옆에 배치된 제1 에어갭 패턴들(530, 535) 및 제2 도전 패턴(550)의 양 옆에 배치된 제2 에어갭 패턴들(560, 565)을 포함할 수 있다.Referring to FIGS. 14A and 14B, the integrated circuit (500) may correspond to one net composed of first and second connection points (510, 515) and first and second conductive patterns (520, 550). At this time, the first and second connection points (510, 515) may be arranged in different layers, respectively, and the X coordinate may be the same and the Y coordinate may be different. Specifically, the integrated circuit (500) may include a first conductive pattern (520) connected to a first connection point (510), first and second vias (540, 545) on the first conductive pattern (520), a second conductive pattern (550) on the second via (545), first air gap patterns (530, 535) arranged on both sides of the first conductive pattern (520), and second air gap patterns (560, 565) arranged on both sides of the second conductive pattern (550).

본 실시예에서, 제1 및 제2 도전 패턴들(520, 550)은 타이밍 크리티컬 패쓰를 구성할 수 있고, 이에 따라, 제1 도전 패턴(520)의 양 옆에 제1 에어갭 패턴들(530, 535)을 배치하고, 제2 도전 패턴(550)의 양 옆에 제2 에어갭 패턴들(560, 565)을 배치할 수 있다. 제1 도전 패턴(520)은 Y 방향으로 연장되고, 제1 에어갭 패턴들(530, 535)도 Y 방향으로 연장될 수 있다. 제2 도전 패턴(550)은 Y 방향으로 연장되고, 제2 에어갭 패턴들(560, 565)도 Y 방향으로 연장될 수 있다. 예를 들어, 제1 및 제2 도전 패턴들(520, 550)은 도 8의 제5 및 제6 배선층들(M5, M6)에 각각 대응할 수 있다. In the present embodiment, the first and second conductive patterns (520, 550) may form a timing critical path, and accordingly, the first air gap patterns (530, 535) may be arranged on both sides of the first conductive pattern (520), and the second air gap patterns (560, 565) may be arranged on both sides of the second conductive pattern (550). The first conductive pattern (520) may extend in the Y direction, and the first air gap patterns (530, 535) may also extend in the Y direction. The second conductive pattern (550) may extend in the Y direction, and the second air gap patterns (560, 565) may also extend in the Y direction. For example, the first and second conductive patterns (520, 550) may correspond to the fifth and sixth wiring layers (M5, M6) of FIG. 8, respectively.

도 14c를 참조하면, 비교예에 따른 집적 회로(500')는 단방향의 에어갭 레이어만을 포함하도록 구현된다고 하자. 예를 들어, 집적 회로(500')가 X 방향으로 연장되는 에어갭 패턴들을 포함하는 에어갭 레이어만을 포함하도록 구현되는 경우, 제1 및 제2 도전 패턴들(520, 550)에 인접하게, Y 방향으로 연장되는 에어갭 패턴을 삽입할 수 없다. 이때, 타이밍 크리티컬 패쓰의 네트를 구성하는 도전 패턴들 사이의 기생 커패시턴스를 감소시킬 수 없으므로, 집적 회로 및 이를 포함하는 칩의 동작 속도를 향상시키기 어렵다. 한편, X 방향으로 연장되는 에어갭 패턴들을 이용하기 위해 집적 회로(500')에서 제1 및 제2 도전 패턴들(520, 550)의 연장 방향을 X 방향으로 수정할 경우 비용, 면적 및/또는 시간의 소모가 증가할 수 있다.Referring to FIG. 14c, it is assumed that the integrated circuit (500') according to the comparative example is implemented to include only a unidirectional air gap layer. For example, if the integrated circuit (500') is implemented to include only an air gap layer including air gap patterns extending in the X direction, an air gap pattern extending in the Y direction cannot be inserted adjacent to the first and second conductive patterns (520, 550). At this time, since the parasitic capacitance between the conductive patterns constituting the net of the timing critical path cannot be reduced, it is difficult to improve the operating speed of the integrated circuit and the chip including the same. Meanwhile, if the extension direction of the first and second conductive patterns (520, 550) in the integrated circuit (500') is modified to the X direction in order to utilize the air gap patterns extending in the X direction, cost, area, and/or time consumption may increase.

도 15a는 본 개시의 일 실시예에 따른 에어갭 패턴을 적용하여 라우팅한 집적 회로(600)를 나타내는 평면도이고, 도 15b는 도 15a의 집적 회로(600)를 나타내는 사시도이다.FIG. 15a is a plan view showing an integrated circuit (600) routed by applying an air gap pattern according to one embodiment of the present disclosure, and FIG. 15b is a perspective view showing the integrated circuit (600) of FIG. 15a.

도 15a 및 도 15b를 참조하면, 집적 회로(600)는 제1 및 제2 연결 지점들(610, 615) 및 제1 및 제2 도전 패턴들(620, 650)으로 구성된 하나의 네트에 대응할 수 있다. 이때, 제1 및 제2 연결 지점들(610, 615)은 서로 다른 층들에 각각 배치될 수 있고, X 좌표 및 Y 좌표가 서로 다를 수 있다. 구체적으로, 집적 회로(600)는 제1 연결 지점(610)에 연결된 제1 도전 패턴(620), 제1 도전 패턴(620) 상의 비아(640), 비아(640) 상의 제2 도전 패턴(650), 제1 도전 패턴(620)의 양 옆에 배치된 제1 에어갭 패턴들(630, 635) 및 제2 도전 패턴(650)의 양 옆에 배치된 제2 에어갭 패턴들(660, 665)을 포함할 수 있다.Referring to FIGS. 15A and 15B, the integrated circuit (600) may correspond to one net composed of first and second connection points (610, 615) and first and second conductive patterns (620, 650). At this time, the first and second connection points (610, 615) may be arranged in different layers, respectively, and the X-coordinate and the Y-coordinate may be different from each other. Specifically, the integrated circuit (600) may include a first conductive pattern (620) connected to a first connection point (610), a via (640) on the first conductive pattern (620), a second conductive pattern (650) on the via (640), first air gap patterns (630, 635) arranged on both sides of the first conductive pattern (620), and second air gap patterns (660, 665) arranged on both sides of the second conductive pattern (650).

본 실시예에서, 제1 및 제2 도전 패턴들(620, 650)은 타이밍 크리티컬 패쓰를 구성할 수 있고, 이에 따라, 제1 도전 패턴(620)의 양 옆에 제1 에어갭 패턴들(630, 635)을 배치하고, 제2 도전 패턴(650)의 양 옆에 제2 에어갭 패턴들(660, 665)을 배치할 수 있다. 제1 도전 패턴(620)은 Y 방향으로 연장되고, 제1 에어갭 패턴들(630, 635)도 Y 방향으로 연장될 수 있다. 제2 도전 패턴(650)은 X 방향으로 연장되고, 제2 에어갭 패턴들(660, 665)도 X 방향으로 연장될 수 있다. 예를 들어, 제1 및 제2 도전 패턴들(620, 650)은 도 8의 제5 및 제6 배선층들(M5, M6)에 각각 대응할 수 있다. In the present embodiment, the first and second conductive patterns (620, 650) may form a timing critical path, and accordingly, first air gap patterns (630, 635) may be arranged on both sides of the first conductive pattern (620), and second air gap patterns (660, 665) may be arranged on both sides of the second conductive pattern (650). The first conductive pattern (620) may extend in the Y direction, and the first air gap patterns (630, 635) may also extend in the Y direction. The second conductive pattern (650) may extend in the X direction, and the second air gap patterns (660, 665) may also extend in the X direction. For example, the first and second conductive patterns (620, 650) may correspond to the fifth and sixth wiring layers (M5, M6) of FIG. 8, respectively.

이와 같이, 본 실시예에 따르면, 제1 에어갭 패턴들(630, 635)은 Y 방향으로 연장되도록 배치되고, 제2 에어갭 패턴들(660, 665)은 X 방향으로 연장되도록 배치될 수 있다. 다시 말해, 제1 및 제2 에어갭 패턴들(630, 635, 660, 665)은 양방향 에어갭 패턴들로 구현할 수 있다. 따라서, Z 방향으로 인접한, 두 개의 연속한 레이어들을 에어갭 레이어들로 구현할 수 있다. 한편, 에어갭 패턴들을 단방향 에어갭 패턴들로 구현하는 경우에는, 인접한 두 개의 레이어들을 에어갭 레이어들로 구현할 수 없고, 교번적으로 배치된 레이어들만 에어갭 레이어들로 구현할 수 있다.As such, according to the present embodiment, the first air gap patterns (630, 635) may be arranged to extend in the Y direction, and the second air gap patterns (660, 665) may be arranged to extend in the X direction. In other words, the first and second air gap patterns (630, 635, 660, 665) may be implemented as bidirectional air gap patterns. Accordingly, two consecutive layers adjacent in the Z direction may be implemented as air gap layers. On the other hand, when the air gap patterns are implemented as unidirectional air gap patterns, two adjacent layers cannot be implemented as air gap layers, and only the alternately arranged layers may be implemented as air gap layers.

도 16은 본 개시의 일 실시예에 따른 집적 회로에 포함되는 표준 셀(700)의 레이아웃이다.FIG. 16 is a layout of a standard cell (700) included in an integrated circuit according to one embodiment of the present disclosure.

도 16을 참조하면, 표준 셀(700)은 셀 바운더리(CB)에 의해 한정되고, 복수의 핀들(FN), 제1 및 제2 액티브(active) 영역들(AR1, AR2), 복수의 게이트 라인들(gate lines)(GLa, GLb, GLc; GL), 복수의 제1 금속 라인들(M1a, M1b, M1c; M1) 및 제2 금속 라인(M2)을 포함할 수 있다. 또한, 표준 셀(700)은 제1 에어갭 패턴들(AGP1a, AGP1b) 및 제2 에어갭 패턴들(AGP2a, AGP2b)을 더 포함할 수 있다. 예를 들어, 제1 금속 라인(M1a), 제1 금속 라인(M1b) 상의 제2 비아(V1) 및 제2 금속 라인(M2)은 타이밍 크리티컬 패쓰 네트를 구성할 수 있다.Referring to FIG. 16, a standard cell (700) is defined by a cell boundary (CB) and may include a plurality of fins (FN), first and second active regions (AR1, AR2), a plurality of gate lines (GLa, GLb, GLc; GL), a plurality of first metal lines (M1a, M1b, M1c; M1) and a second metal line (M2). In addition, the standard cell (700) may further include first air gap patterns (AGP1a, AGP1b) and second air gap patterns (AGP2a, AGP2b). For example, the first metal line (M1a), the second via (V1) on the first metal line (M1b) and the second metal line (M2) may form a timing critical path net.

셀 바운더리(CB)는 표준 셀(700)을 한정하는 아웃라인으로, 배치 및 배선 툴(예를 들어, 도 3의 13a 또는 도 4의 22a)은 셀 바운더리(CB)를 이용하여 표준 셀(700)을 인식할 수 있다. 셀 바운더리(CB)는 네 개의 바운더리 라인들로 구성된다.A cell boundary (CB) is an outline that defines a standard cell (700), and a placement and routing tool (e.g., 13a in FIG. 3 or 22a in FIG. 4) can recognize a standard cell (700) using the cell boundary (CB). The cell boundary (CB) consists of four boundary lines.

복수의 핀들(FN)은 X 방향으로 연장되고, X 방향에 수직인 Y 방향을 따라 서로 평행하게 배치될 수 있다. 제1 액티브 영역(AR1)과 제2 액티브 영역(AR2)은 서로 평행하게 배치될 수 있으며, 서로 다른 도전형을 가질 수 있다. 구체적으로, 본 실시예에서는, 제1 및 제2 액티브 영역들(AR1, AR2) 각각에 3개의 핀들(FN)이 배치될 수 있다. 그러나, 본 발명은 이에 한정되지 않고, 제1 및 제2 액티브 영역들(AR1, AR2) 각각에 배치된 핀들(FN)의 개수는 다양하게 변경될 수 있다.A plurality of fins (FN) may extend in the X direction and be arranged parallel to each other along the Y direction perpendicular to the X direction. The first active region (AR1) and the second active region (AR2) may be arranged parallel to each other and may have different conductivity types. Specifically, in the present embodiment, three fins (FN) may be arranged in each of the first and second active regions (AR1, AR2). However, the present invention is not limited thereto, and the number of fins (FN) arranged in each of the first and second active regions (AR1, AR2) may be variously changed.

이때, 제1 및 제2 액티브 영역들(AR1, AR2)에 배치되는 복수의 핀들(FN)은 액티브 핀들이라고 지칭할 수 있다. 도 16에서는 액티브 핀들만을 도시하였으나, 본 발명은 이에 한정되지 않으며, 표준 셀(700)은 셀 바운더리(CB)와 제1 액티브 영역(AR1), 제1 및 제2 액티브 영역들(AR1, AR2) 사이의 영역, 또는 제2 액티브 영역(AR2)과 셀 바운더리(CB) 사이의 영역에 배치되는 더미 핀들을 더 포함할 수 있다.At this time, the plurality of fins (FN) arranged in the first and second active regions (AR1, AR2) may be referred to as active fins. In FIG. 16, only active fins are illustrated, but the present invention is not limited thereto, and the standard cell (700) may further include dummy fins arranged in an area between the cell boundary (CB) and the first active region (AR1), the first and second active regions (AR1, AR2), or the area between the second active region (AR2) and the cell boundary (CB).

복수의 게이트 라인들(GL)은 Y 방향으로 연장될 수 있고, X 방향을 따라 서로 평행하게 배치될 수 있다. 이때, 게이트 라인들(GL)은 전기 전도성을 갖는 임의의 물질로 구성될 수 있으며, 예를 들어, 폴리 실리콘, 금속, 금속 합금 등을 포함할 수 있다. 도 16에서는 표준 셀(700)이 세 개의 게이트 라인들(GL)을 포함하는 것으로 도시되었으나, 이는 일 실시예에 불과하고, 표준 셀(700)은 Y 방향으로 연장되고 X 방향을 따라 서로 평행하게 배치되는 4개 이상의 게이트 라인들(GL)을 포함할 수 있다.A plurality of gate lines (GL) may extend in the Y direction and may be arranged parallel to each other along the X direction. At this time, the gate lines (GL) may be composed of any material having electrical conductivity, and may include, for example, polysilicon, a metal, a metal alloy, etc. In FIG. 16, the standard cell (700) is illustrated as including three gate lines (GL), but this is only one embodiment, and the standard cell (700) may include four or more gate lines (GL) extending in the Y direction and arranged parallel to each other along the X direction.

제1 비아들(V0)은 복수의 게이트 라인들(GLa, GLb, GLc) 상에 각각 배치되어, 복수의 게이트 라인들(GLa, GLb, GLc)과 복수의 제1 금속 라인들(M1a, M1b, M1c)을 각각 전기적으로 연결할 수 있다. 이때, 제1 비아들(V0)은 전기 전도성을 갖는 임의의 물질로 구성될 수 있으며, 예를 들어, 폴리 실리콘, 금속, 금속 합금 등을 포함할 수 있다.The first vias (V0) are respectively arranged on the plurality of gate lines (GLa, GLb, GLc) to electrically connect the plurality of gate lines (GLa, GLb, GLc) and the plurality of first metal lines (M1a, M1b, M1c), respectively. At this time, the first vias (V0) may be composed of any material having electrical conductivity, and may include, for example, polysilicon, a metal, a metal alloy, etc.

복수의 제1 금속 라인들(M1)은 복수의 게이트 라인들(GL)의 상부에 배치되는 일 레이어을 구성할 수 있다. 예를 들어, 제1 금속 라인(M1a)은 도 15b의 제1 도전 패턴(620)에 대응할 수 있다. 이때, 제1 금속 라인들(M1)은 전기 전도성을 갖는 임의의 물질로 구성될 수 있으며, 예를 들어, 폴리 실리콘, 금속, 금속 합금 등을 포함할 수 있다. A plurality of first metal lines (M1) may form a layer arranged on top of a plurality of gate lines (GL). For example, the first metal line (M1a) may correspond to the first conductive pattern (620) of FIG. 15b. At this time, the first metal lines (M1) may be composed of any material having electrical conductivity, and may include, for example, polysilicon, a metal, a metal alloy, etc.

제1 금속 라인들(M1)은 Y 방향으로 연장될 수 있고, X 방향을 따라 서로 평행하게 배치될 수 있다. 그러나, 본 발명은 이에 한정되지 않으며, 일부 실시예들에서, 제1 금속 라인들(M1) 중 일부 제1 금속 라인의 일 부분이 Y 방향으로 연장되고 다른 일 부분은 X 방향으로 연장되는 L자 형상으로 구현될 수도 있다. 도 16에서는 표준 셀(700)이 세 개의 제1 금속 라인들(M1)을 포함하는 것으로 도시되었으나, 이는 일 실시예에 불과하고, 표준 셀(700)은 4개 이상의 제1 금속 라인들(M1)을 포함할 수 있다.The first metal lines (M1) may extend in the Y direction and may be arranged parallel to each other along the X direction. However, the present invention is not limited thereto, and in some embodiments, some of the first metal lines (M1) may be implemented in an L shape in which a portion of the first metal lines extends in the Y direction and another portion extends in the X direction. Although the standard cell (700) is illustrated in FIG. 16 as including three first metal lines (M1), this is only one embodiment, and the standard cell (700) may include four or more first metal lines (M1).

제2 비아들(V1)은 복수의 제1 금속 라인들(M1a, M1c) 상에 각각 배치되어, 복수의 제1 금속 라인들(M1a, M1c)과 제2 금속 라인(M2)을 전기적으로 연결할 수 있다. 예를 들어, 제1 금속 라인(M1a) 상의 제2 비아(V1)는 도 15b의 비아(640)에 대응할 수 있다. 이때, 제2 비아들(V1)은 전기 전도성을 갖는 임의의 물질로 구성될 수 있으며, 예를 들어, 폴리 실리콘, 금속, 금속 합금 등을 포함할 수 있다.The second vias (V1) are respectively arranged on the plurality of first metal lines (M1a, M1c) to electrically connect the plurality of first metal lines (M1a, M1c) and the second metal line (M2). For example, the second via (V1) on the first metal line (M1a) may correspond to the via (640) of FIG. 15b. At this time, the second vias (V1) may be composed of any material having electrical conductivity, and may include, for example, polysilicon, a metal, a metal alloy, etc.

제2 금속 라인(M2)은 복수의 제1 금속 라인들(M1)의 상부에 배치되는 일 레이어를 구성할 수 있다. 예를 들어, 제2 금속 라인(M2)은 도 15b의 제2 도전 패턴(650)에 대응할 수 있다. 이때, 제2 금속 라인(M2)은 전기 전도성을 갖는 임의의 물질로 구성될 수 있으며, 예를 들어, 폴리 실리콘, 금속, 금속 합금 등을 포함할 수 있다. The second metal line (M2) may form a layer arranged on top of a plurality of first metal lines (M1). For example, the second metal line (M2) may correspond to the second conductive pattern (650) of FIG. 15B. At this time, the second metal line (M2) may be composed of any material having electrical conductivity, and may include, for example, polysilicon, a metal, a metal alloy, etc.

제2 금속 라인(M2)은 X 방향으로 연장될 수 있다. 그러나, 본 발명은 이에 한정되지 않으며, 일부 실시예들에서, 제2 금속 라인(M2)의 일 부분이 X 방향으로 연장되고 다른 일 부분은 Y 방향으로 연장되는 L자 형상으로 구현될 수도 있다. 도 16에서는 표준 셀(700)이 한 개의 제2 금속 라인(M2)을 포함하는 것으로 도시되었으나, 이는 일 실시예에 불과하고, 표준 셀(700)은 2개 이상의 제2 금속 라인들(M2)을 포함할 수 있다.The second metal line (M2) may extend in the X direction. However, the present invention is not limited thereto, and in some embodiments, the second metal line (M2) may be implemented in an L shape in which one part extends in the X direction and the other part extends in the Y direction. Although the standard cell (700) is illustrated in FIG. 16 as including one second metal line (M2), this is only one embodiment, and the standard cell (700) may include two or more second metal lines (M2).

본 실시예에 따르면, 복수의 제1 금속 라인들(M1a 내지 M1c) 사이에 제1 에어갭 패턴들(AGP1a, AGP1b)이 배치될 수 있다. 이때, 제1 에어갭 패턴들(AGP1a, AGP1b)은 제1 금속 라인들(M1a 내지 M1c)의 연장 방향에 따라 Y 방향으로 연장되도록 형성될 수 있다. 이에 따라, 복수의 제1 금속 라인들(M1a 내지 M1c)과 제1 에어갭 패턴들(AGP1a, AGP1b)은 제1 에어갭 레이어를 구성할 수 있고, 이로써, 복수의 제1 금속 라인들(Ma1 내지 M1c) 사이의 기생 커패시턴스가 감소할 수 있다.According to the present embodiment, first air gap patterns (AGP1a, AGP1b) may be arranged between a plurality of first metal lines (M1a to M1c). At this time, the first air gap patterns (AGP1a, AGP1b) may be formed to extend in the Y direction along the extension direction of the first metal lines (M1a to M1c). Accordingly, the plurality of first metal lines (M1a to M1c) and the first air gap patterns (AGP1a, AGP1b) may form a first air gap layer, and thereby, parasitic capacitance between the plurality of first metal lines (Ma1 to M1c) may be reduced.

또한, 본 실시예에 따르면, 제2 금속 라인(M2)의 양 옆에 제2 에어갭 패턴들(AGP2a, AGP2b)이 배치될 수 있다. 이때, 제2 에어갭 패턴들(AGP2a, AGP2b)은 제2 금속 라인(M2)의 연장 방향에 따라 X 방향으로 연장되도록 형성될 수 있다. 이에 따라, 제2 금속 라인(M2)과 제2 에어갭 패턴들(AGP2a, AGP2b)은 제2 에어갭 레이어를 구성할 수 있고, 이로써, 제2 금속 라인(M2)과 인접한 금속 라인 사이의 기생 커패시턴스가 감소할 수 있다.In addition, according to the present embodiment, second air gap patterns (AGP2a, AGP2b) may be arranged on both sides of the second metal line (M2). At this time, the second air gap patterns (AGP2a, AGP2b) may be formed to extend in the X direction along the extension direction of the second metal line (M2). Accordingly, the second metal line (M2) and the second air gap patterns (AGP2a, AGP2b) may form a second air gap layer, thereby reducing parasitic capacitance between the second metal line (M2) and an adjacent metal line.

도 1 내지 도 16을 참조하여 상술된 바와 같이, 본 개시의 실시예들에 따르면, 집적 회로의 레이아웃을 설계하는 단계에서, 배치된 표준 셀들 내의 타이밍 패쓰들 중 타이밍 크리티컬 패쓰를 선별하고, 선별된 타이밍 크리티컬 패쓰의 네트들 중 적어도 하나의 네트를 선택할 수 있다. 이어서, 선택된 적어도 하나의 네트를 에어갭 레이어로 프리 라우팅하고, 넌-크리티컬 패쓰들의 네트들 및 타이밍 크리티컬 패쓰의 비 선택된 네트들을 일반 레이어로 라우팅할 수 있다. 이에 따라, 적은 수의 에어갭 레이어를 이용함으로써 저 비용으로 고성능의 집적 회로를 구현할 수 있다.As described above with reference to FIGS. 1 to 16, according to embodiments of the present disclosure, in a step of designing a layout of an integrated circuit, a timing critical path may be selected from among timing paths in arranged standard cells, and at least one net may be selected from among nets of the selected timing critical paths. Subsequently, the at least one selected net may be pre-routed to an airgap layer, and the nets of non-critical paths and unselected nets of the timing critical paths may be routed to a general layer. Accordingly, a high-performance integrated circuit may be implemented at a low cost by using a small number of airgap layers.

도 17은 본 개시의 일 실시예에 따른 저장 매체(1000)를 나타내는 블록도이다.FIG. 17 is a block diagram illustrating a storage medium (1000) according to one embodiment of the present disclosure.

도 17을 참조하면, 저장 매체(1000)는 셀 라이브러리(1100), 레이아웃 데이터(1200), 배치 및 배선 프로그램(1300) 및 타이밍 분석 프로그램(1400)을 저장할 수 있다. 저장 매체(1000)는 컴퓨터로 읽을 수 있는 저장 매체로서, 컴퓨터에 명령어들 및/또는 데이터를 제공하는데 사용되는 동안 컴퓨터에 의해 읽혀질 수 있는 임의의 저장 매체를 포함할 수 있다. 예를 들면, 컴퓨터로 읽을 수 있는 저장 매체(1000)는 디스크, 테이프, CD-ROM, DVD-ROM, CD-R, CD-RW, DVD-R, DVD-RW 등과 같은 자기 또는 광학 매체, RAM, ROM, 플래시 메모리 등과 같은 휘발성 또는 비휘발성 메모리, USB 인터페이스를 통해서 엑세스 가능한 비휘발성 메모리, 그리고 MEMS(microelectromechanical systems) 등을 포함할 수 있다. 컴퓨터로 읽을 수 있는 저장 매체는 컴퓨터에 삽입 가능하거나, 컴퓨터 내에 집적되거나, 네트워크 및/또는 무선 링크와 같은 통신 매개체를 통해서 컴퓨터와 결합될 수 있다.Referring to FIG. 17, the storage medium (1000) can store a cell library (1100), layout data (1200), a placement and routing program (1300), and a timing analysis program (1400). The storage medium (1000) is a computer-readable storage medium, and can include any storage medium that can be read by a computer while being used to provide instructions and/or data to the computer. For example, the computer-readable storage medium (1000) can include magnetic or optical media such as a disk, a tape, a CD-ROM, a DVD-ROM, a CD-R, a CD-RW, a DVD-R, a DVD-RW, and the like, volatile or nonvolatile memory such as a RAM, a ROM, a flash memory, a nonvolatile memory accessible via a USB interface, and microelectromechanical systems (MEMS). The computer-readable storage medium can be inserted into the computer, integrated into the computer, or coupled to the computer via a communication medium such as a network and/or a wireless link.

셀 라이브러리(1100)는 표준 셀 라이브러리일 수 있고, 집적 회로를 구성하는 단위인 표준 셀에 대한 정보를 포함할 수 있다. 일 실시예에서, 표준 셀에 대한 정보는 레이아웃 생성에 필요한 레이아웃 정보를 포함할 수 있다. 일 실시예에서, 표준 셀에 대한 정보는 레이아웃의 검증 또는 시뮬레이션에 필요한 타이밍 정보를 포함할 수 있다.The cell library (1100) may be a standard cell library and may include information about standard cells, which are units that constitute an integrated circuit. In one embodiment, the information about the standard cells may include layout information required for layout generation. In one embodiment, the information about the standard cells may include timing information required for layout verification or simulation.

레이아웃 데이터(1200)는 배치 및 배선 동작을 통해 생성된 레이아웃에 대한 물리적 정보를 포함할 수 있다. 일 실시예에서, 레이아웃 데이터(1200)는 도전 패턴들의 너비 값 및 스페이스 값, 그리고, 도전 패턴들 사이에 배치되는 에어갭 패턴들의 개수 및 사이즈 등을 포함할 수 있다.Layout data (1200) may include physical information about a layout generated through placement and routing operations. In one embodiment, layout data (1200) may include width values and space values of the challenge patterns, and the number and size of air gap patterns arranged between the challenge patterns.

배치 및 배선 프로그램(1300)은 본 발명의 예시적인 실시예들에 따른 표준 셀 라이브러리를 사용하여 집적 회로의 레이아웃을 생성하는 방법을 수행하기 위하여 복수개의 명령어들을 포함할 수 있다. 배치 및 배선 프로그램(1300)은 예를 들어, 도 1의 단계 S110 및 S130, 도 5의 단계 S210, S260 및 S270, 또는 도 6의 단계 S310, S320, S350, S360을 수행하기 위해 이용될 수 있다.The placement and routing program (1300) may include a plurality of instructions to perform a method of generating a layout of an integrated circuit using a standard cell library according to exemplary embodiments of the present invention. The placement and routing program (1300) may be used to perform, for example, steps S110 and S130 of FIG. 1, steps S210, S260, and S270 of FIG. 5, or steps S310, S320, S350, and S360 of FIG. 6.

타이밍 분석 프로그램(1400)은 예를 들어, STA(Static Timing Analysis) 프로그램일 수 있다. STA는 디지털 회로의 예상되는(expected) 타이밍을 계산하는 시뮬레이션 방법이며, 배치된 표준 셀들의 모든 타이밍 경로들에 대해 타이밍 분석을 수행하고, 타이밍 분석 결과를 출력할 수 있다. STA 프로그램(1400)은 예를 들어, 도 1의 단계 S120, 도 5의 단계 S240 및 S250, 또는 도 6의 단계 S330을 수행하기 위해 이용될 수 있다.The timing analysis program (1400) may be, for example, a Static Timing Analysis (STA) program. STA is a simulation method for calculating the expected timing of a digital circuit, and may perform timing analysis on all timing paths of placed standard cells and output the timing analysis results. The STA program (1400) may be used to perform, for example, step S120 of FIG. 1, steps S240 and S250 of FIG. 5, or step S330 of FIG. 6.

일부 실시예들에서, 저장 매체(1000)는 분석 프로그램을 더 저장할 수 있고, 분석 프로그램은 집적 회로를 정의하는 입력 데이터에 기초하여 집적 회로를 분석하는 방법을 수행하는 복수개의 명령어들을 포함할 수 있다. 일부 실시예들에서, 저장 매체(1000)는 데이터 구조를 더 저장할 수 있고, 데이터 구조는 표준 셀 라이브러리(1100)에 포함된 표준 셀 라이브러리를 사용하거나, 표준 셀 라이브러리(1100)에 포함된 표준 셀 라이브러리로부터 특정 정보를 추출하거나, 또는 분석 프로그램에 의해서 집적 회로의 특성을 분석하는 과정에서 생성된 데이터를 관리하기 위한 저장 공간 등을 포함할 수 있다.In some embodiments, the storage medium (1000) may further store an analysis program, and the analysis program may include a plurality of commands that perform a method of analyzing an integrated circuit based on input data defining the integrated circuit. In some embodiments, the storage medium (1000) may further store a data structure, and the data structure may include a storage space for managing data generated in a process of using a standard cell library included in the standard cell library (1100), extracting specific information from a standard cell library included in the standard cell library (1100), or analyzing a characteristic of the integrated circuit by the analysis program.

이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.As described above, exemplary embodiments have been disclosed in the drawings and the specification. Although specific terms have been used in the specification to describe the embodiments, these have been used only for the purpose of explaining the technical idea of the present disclosure and have not been used to limit the meaning or the scope of the present disclosure described in the claims. Therefore, those skilled in the art will understand that various modifications and equivalent other embodiments are possible therefrom. Accordingly, the true technical protection scope of the present disclosure should be determined by the technical idea of the appended claims.

10, 20: 집적 회로 설계 시스템
100, 100a, 100b: 타이밍 크리티컬 패쓰 네트
IC, 200, 300, 400, 500, 600: 집적 회로
700: 표준 셀
10, 20: Integrated Circuit Design System
100, 100a, 100b: Timing Critical Pass Net
IC, 200, 300, 400, 500, 600: Integrated Circuits
700: Standard Cell

Claims (10)

적어도 부분적으로 프로세서에 의해 수행되는, 집적 회로를 제조하는 컴퓨터 구현 방법으로서,
상기 집적 회로를 정의하는 표준 셀들을 배치하는 단계;
배치된 상기 표준 셀들 내의 타이밍 패쓰들 중 타이밍 크리티컬 패쓰(timing critical path)의 적어도 하나의 네트를, 에어갭 레이어(air-gap layer)로 프리 라우팅(pre-routing)하는 단계;
상기 타이밍 패쓰들 중 넌-크리티컬 패쓰(non-critical path)의 네트들을 라우팅하는 단계; 및
상기 프리 라우팅 및 상기 라우팅에 따라 생성된 레이아웃을 기초로 상기 집적 회로를 제조하는 단계를 포함하는 방법.
A computer implemented method of manufacturing an integrated circuit, the method being performed at least in part by a processor,
A step of arranging standard cells defining the above integrated circuit;
A step of pre-routing at least one net of a timing critical path among the timing paths within the placed standard cells to an air-gap layer;
A step of routing nets of non-critical paths among the above timing paths; and
A method comprising the steps of manufacturing the integrated circuit based on the above pre-routing and the layout generated according to the above routing.
제1항에 있어서,
상기 라우팅하는 단계는, 상기 타이밍 크리티컬 패쓰의 복수의 네트들 중 상기 적어도 하나의 네트 이외의 네트들 및 상기 넌-크리티컬 패쓰의 네트들을 라우팅하는 것을 특징으로 하는 방법.
In the first paragraph,
A method characterized in that the above routing step routes nets other than at least one net among a plurality of nets of the timing critical path and nets of the non-critical path.
제1항에 있어서,
상기 적어도 하나의 네트는, 제1 도전 패턴, 상기 제1 도전 패턴 상의 비아, 및 상기 비아 상의 제2 도전 패턴을 포함하고,
상기 에어갭 레이어는,
상기 제1 도전 패턴 및 상기 제1 도전 패턴에 인접한 적어도 하나의 제1 에어갭 패턴을 포함하는 제1 레이어; 및
상기 제2 도전 패턴 및 상기 제2 도전 패턴에 인접한 적어도 하나의 제2 에어갭 패턴을 포함하는 제2 레이어 중 적어도 하나를 포함하는 것을 특징으로 하는 방법.
In the first paragraph,
wherein said at least one net comprises a first challenge pattern, a via on said first challenge pattern, and a second challenge pattern on said via,
The above air gap layer,
A first layer comprising the first challenge pattern and at least one first air gap pattern adjacent to the first challenge pattern; and
A method characterized by comprising at least one of a second layer including the second challenge pattern and at least one second air gap pattern adjacent to the second challenge pattern.
제3항에 있어서,
상기 제1 도전 패턴 및 상기 제1 에어갭 패턴들은 제1 방향을 따라 연장되고,
상기 제2 도전 패턴 및 상기 제2 에어갭 패턴들은 상기 제1 방향에 실질적으로 수직인 제2 방향을 따라 연장되는 것을 특징으로 하는 방법.
In the third paragraph,
The above first challenge pattern and the above first air gap patterns extend along the first direction,
A method characterized in that the second challenge pattern and the second air gap patterns extend along a second direction substantially perpendicular to the first direction.
제3항에 있어서,
상기 제1 및 제2 도전 패턴들 및 상기 제1 및 제2 에어갭 패턴들은 동일 방향을 따라 연장되는 것을 특징으로 하는 방법.
In the third paragraph,
A method characterized in that the first and second challenge patterns and the first and second air gap patterns extend along the same direction.
제1항에 있어서,
상기 에어갭 레이어는 양방향 에어갭 레이어들로 구현되는 것을 특징으로 하는 방법.
In the first paragraph,
A method characterized in that the above air gap layer is implemented as bidirectional air gap layers.
제1항에 있어서,
상기 프리 라우팅하는 단계 이전에, 상기 배치된 표준 셀들에 대해 타이밍 분석을 수행함으로써, 상기 타이밍 패쓰들 중 상기 타이밍 크리티컬 패쓰를 선별하는 단계를 더 포함하는 것을 특징으로 하는 방법.
In the first paragraph,
A method characterized in that, prior to the above-mentioned pre-routing step, it further comprises a step of selecting a timing critical path among the timing paths by performing timing analysis on the placed standard cells.
제7항에 있어서,
상기 타이밍 크리티컬 패쓰를 선별하는 단계는,
상기 배치된 표준 셀들에 대해 트라이얼(trial) 라우팅을 수행하는 단계;
상기 트라이얼 라우팅이 수행된 상기 표준 셀들에 대해 상기 타이밍 분석을 수행하는 단계;
상기 타이밍 분석의 결과에 따라 상기 타이밍 패쓰들 중 상기 타이밍 크리티컬 패쓰를 선별하는 단계; 및
상기 타이밍 크리티컬 패쓰에서 상기 적어도 하나의 네트를 선별하는 단계를 포함하는 것을 특징으로 하는 방법.
In Article 7,
The step of selecting the above timing critical path is,
A step of performing trial routing on the above-described arranged standard cells;
A step of performing timing analysis on the standard cells on which the trial routing has been performed;
A step of selecting the timing critical path among the timing paths according to the result of the timing analysis; and
A method characterized by comprising a step of selecting at least one net in the timing critical path.
제7항에 있어서,
상기 배치하는 단계 이후에, 그리고, 상기 선별하는 단계 이전에, 상기 배치된 복수의 셀들에 대해 클럭 트리 합성(Clock Tree Synthesis)을 수행하는 단계를 더 포함하는 것을 특징으로 하는 방법.
In Article 7,
A method characterized by further comprising, after the step of placing, and before the step of selecting, a step of performing clock tree synthesis on the plurality of placed cells.
삭제delete
KR1020160100122A 2016-02-11 2016-08-05 Integrated circuit and computer-implemented method for manufacturing the same KR102717096B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US15/420,514 US9991249B2 (en) 2016-02-11 2017-01-31 Integrated circuit and computer-implemented method of manufacturing the same
TW106104007A TWI718245B (en) 2016-02-11 2017-02-08 Integrated circuits, computer-implemented method of manufacturing the same, and standard cell defining the same
CN201710070632.9A CN107066681B (en) 2016-02-11 2017-02-09 Integrated circuit and computer-implemented method of manufacturing an integrated circuit
US15/981,408 US10418354B2 (en) 2016-02-11 2018-05-16 Integrated circuit and computer-implemented method of manufacturing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020160015820 2016-02-11
KR20160015820 2016-02-11

Publications (2)

Publication Number Publication Date
KR20170094744A KR20170094744A (en) 2017-08-21
KR102717096B1 true KR102717096B1 (en) 2024-10-15

Family

ID=59757519

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160100122A KR102717096B1 (en) 2016-02-11 2016-08-05 Integrated circuit and computer-implemented method for manufacturing the same

Country Status (2)

Country Link
KR (1) KR102717096B1 (en)
TW (1) TWI718245B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102596609B1 (en) * 2018-11-16 2023-10-31 삼성전자주식회사 Method for fabricating semiconductor device and layout design system
TWI714039B (en) * 2019-03-27 2020-12-21 創意電子股份有限公司 Timing model, method for building timing model, and related method for performing top-level analysis
DE102020100001B4 (en) 2019-05-31 2022-05-25 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a plurality of memory test structures and method of manufacturing the same and memory test structure of an embedded memory device
US11069695B2 (en) 2019-05-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Floating gate test structure for embedded memory device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101098920B1 (en) 2004-12-07 2011-12-27 매그나칩 반도체 유한회사 Method for manufacturing semicondoctor device
US20150021738A1 (en) 2013-07-19 2015-01-22 International Business Machines Corporation Bipolar junction transistors with an air gap in the shallow trench isolation
US20160147928A1 (en) 2014-11-26 2016-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method, device and computer program product for integrated circuit layout generation

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07326670A (en) * 1994-05-31 1995-12-12 Texas Instr Inc <Ti> Semiconductor integrated circuit device
JP2008103610A (en) * 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd Wiring structure for semiconductor integrated circuit, its design method, and design device
US8375347B2 (en) * 2009-05-12 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Driven metal critical dimension (CD) biasing
US8765595B2 (en) * 2012-01-06 2014-07-01 International Business Machines Corporation Thick on-chip high-performance wiring structures
US8603889B2 (en) * 2012-03-30 2013-12-10 International Business Machines Corporation Integrated circuit structure having air-gap trench isolation and related design structure
KR102033496B1 (en) * 2013-07-12 2019-10-17 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
KR102143501B1 (en) * 2013-12-05 2020-08-11 삼성전자 주식회사 Layout design system and semiconductor device fabricated by using the system
KR102247918B1 (en) * 2014-04-07 2021-05-06 삼성전자주식회사 Semiconductor device and method of fabricating the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101098920B1 (en) 2004-12-07 2011-12-27 매그나칩 반도체 유한회사 Method for manufacturing semicondoctor device
US20150021738A1 (en) 2013-07-19 2015-01-22 International Business Machines Corporation Bipolar junction transistors with an air gap in the shallow trench isolation
US20160147928A1 (en) 2014-11-26 2016-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method, device and computer program product for integrated circuit layout generation

Also Published As

Publication number Publication date
KR20170094744A (en) 2017-08-21
TWI718245B (en) 2021-02-11
TW201826155A (en) 2018-07-16

Similar Documents

Publication Publication Date Title
US10418354B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
CN107918694B (en) Method for reducing delay on an integrated circuit
US9171124B2 (en) Parasitic extraction in an integrated circuit with multi-patterning requirements
US10424518B2 (en) Integrated circuit designing system and a method of manufacturing an integrated circuit
US11030383B2 (en) Integrated device and method of forming the same
US10796060B2 (en) Method and system for pin layout
KR102717096B1 (en) Integrated circuit and computer-implemented method for manufacturing the same
US10928442B2 (en) Computer implemented methods and computing systems for designing integrated circuits by considering back-end-of-line
US20170344692A1 (en) Computer-implemented method of designing an integrated circuit
US12019972B2 (en) Method and system of forming semiconductor device
US9436792B2 (en) Method of designing layout of integrated circuit and method of manufacturing integrated circuit
KR101697343B1 (en) Method of designing layout of integrated circuit and method of manufacturing the integrated circuit
US20130304449A1 (en) System and method of electromigration avoidance for automatic place-and- route
US20170061063A1 (en) Integrated circuit with reduced routing congestion
US10509888B1 (en) System and method for forming integrated device
KR102718979B1 (en) Computer-implemented method and computing system for designing integrated circuit by considering Back-End-Of-Line
US20230267261A1 (en) Design system, design method and method of manufacture of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant