-
UPV/EHU
- Bilbo, Bizkaia, Euskadi, Spain, Europe
- https://orcid.org/0000-0003-1752-9181
- @unaimarcor
Block or Report
Block or report umarcor
Contact GitHub support about this user’s behavior. Learn more about reporting abuse.
Report abuse-
globalfoundries-pdk-libs-gf180mcu_fd_pr Public
Forked from google/globalfoundries-pdk-libs-gf180mcu_fd_prPrimitives for GF180MCU provided by GlobalFoundries.
Python Apache License 2.0 UpdatedApr 9, 2023 -
godot Public
Forked from godotengine/godotGodot Engine – Multi-platform 2D and 3D game engine
C++ MIT License UpdatedApr 5, 2023 -
godot-build-scripts Public
Forked from godotengine/godot-build-scriptsBuild scripts used for official Godot Engine builds with https://github.com/godotengine/build-containers
Shell MIT License UpdatedApr 4, 2023 -
godot-commit-artifacts Public
Forked from godotengine/godot-commit-artifactsA tool providing quick links to latest CI builds of development branches
JavaScript MIT License UpdatedMar 28, 2023 -
furo Public
Forked from pradyunsg/furoA clean customizable documentation theme for Sphinx
Sass MIT License UpdatedMar 26, 2023 -
issue-runner Public
Execute Minimal Working Examples (MWEs) defined in the body of Markdown files or GitHub issues.
-
openFPGALoader Public
Forked from trabucayre/openFPGALoaderUniversal utility for programming FPGA
C++ Apache License 2.0 UpdatedJan 24, 2023 -
-
fomu-toolchain Public
Forked from im-tomu/fomu-toolchainA collection of tools for developing for Fomu
-
cobra-cli Public
Forked from spf13/cobra-cliCobra CLI tool to generate applications and commands
Go Apache License 2.0 UpdatedOct 4, 2022 -
litex Public
Forked from enjoy-digital/litexBuild your hardware, easily!
-
skywater-pdk Public
Forked from google/skywater-pdkOpen source process design kit for usage with SkyWater Technology Foundry's 130nm node.
Python Apache License 2.0 UpdatedAug 21, 2022 -
edalize Public
Forked from olofk/edalizeAn abstraction library for interfacing EDA tools
Python BSD 2-Clause "Simplified" License UpdatedAug 17, 2022 -
vtr-verilog-to-routing Public
Forked from verilog-to-routing/vtr-verilog-to-routingVerilog to Routing -- Open Source CAD Flow for FPGA Research
C++ Other UpdatedJul 31, 2022 -
verilator Public
Forked from verilator/verilatorVerilator open-source SystemVerilog simulator and lint system
C++ GNU Lesser General Public License v3.0 UpdatedJun 20, 2022 -
-
iic-osic-tools Public
Forked from iic-jku/IIC-OSIC-TOOLSIIC-OSIC-TOOLS is an all-in-one Docker container for SKY130-based analog and digital chip design. It runs on x86_64/amd64 and aarch64/arm64.
Shell Apache License 2.0 UpdatedMay 20, 2022 -
fpga-interchange-schema Public
Forked from chipsalliance/fpga-interchange-schemaCap'n Proto Apache License 2.0 UpdatedMay 16, 2022 -
sphinx_f4pga_theme Public
Forked from f4pga/sphinx_f4pga_themeA material-based, responsive theme inspired by mkdocs-material
CSS Other UpdatedMay 12, 2022 -
mambo Public
Forked from beehive-lab/mamboA low-overhead dynamic binary instrumentation and modification tool for ARM (now with both AArch32 and AArch64 support)
-
The Xyce™ Parallel Electronic Simulator
C GNU General Public License v3.0 UpdatedApr 27, 2022 -
-
synth_opt_adders Public
Forked from tdene/synth_opt_addersPrefix tree adder space exploration library
Python Apache License 2.0 UpdatedApr 25, 2022 -
-
sphinx-wavedrom Public
Forked from bavovanachte/sphinx-wavedromA sphinx extension that allows including wavedrom diagrams by using its text-based representation
-
sphinxcontrib-hdl-diagrams Public
Forked from SymbiFlow/sphinxcontrib-hdl-diagramsSphinx Extension which generates various types of diagrams from Verilog code.
Python Apache License 2.0 UpdatedDec 7, 2021 -
ImHex Public
Forked from WerWolv/ImHexA Hex Editor for Reverse Engineers, Programmers and people that value their eye sight when working at 3 AM.
C++ GNU General Public License v2.0 UpdatedDec 1, 2021 -
pono Public
Forked from stanford-centaur/ponoNext generation cosa.
-
fusesoc Public
Forked from olofk/fusesocPackage manager and build abstraction tool for FPGA/ASIC development
Python BSD 2-Clause "Simplified" License UpdatedNov 8, 2021 -
iverilog Public
Forked from steveicarus/iverilogIcarus Verilog
C++ GNU General Public License v2.0 UpdatedNov 4, 2021