diff --git a/.gitmodules b/.gitmodules index a3775fc12d..c76f690f8f 100644 --- a/.gitmodules +++ b/.gitmodules @@ -40,8 +40,10 @@ branch = develop [submodule "AQM"] path = AQM - url = https://github.com/NOAA-EMC/AQM - branch = develop + #url = https://github.com/NOAA-EMC/AQM + #branch = develop + url = https://github.com/BrianCurtis-NOAA/AQM + branch = prod2dev [submodule "NOAHMP"] path = NOAHMP-interface/noahmp url = https://github.com/NOAA-EMC/noahmp diff --git a/AQM b/AQM index 37cbb7d684..b79f95f7de 160000 --- a/AQM +++ b/AQM @@ -1 +1 @@ -Subproject commit 37cbb7d6840ae7515a9a8f0dfd4d89461b3396d1 +Subproject commit b79f95f7de95b431feb74400aebb3a57e992c759 diff --git a/tests/bl_date.conf b/tests/bl_date.conf index 14d342bff7..ab2913d91f 100644 --- a/tests/bl_date.conf +++ b/tests/bl_date.conf @@ -1 +1 @@ -export BL_DATE=20240607 +export BL_DATE=20240612 diff --git a/tests/default_vars.sh b/tests/default_vars.sh index 0742cb3bd8..8394e4be4c 100644 --- a/tests/default_vars.sh +++ b/tests/default_vars.sh @@ -89,8 +89,8 @@ export OCN_tasks_cdeps_025=120 export ICE_tasks_cdeps_025=48 - export INPES_aqm=33 - export JNPES_aqm=8 + export INPES_aqm=25 + export JNPES_aqm=34 export THRD_cpl_unstr=1 export INPES_cpl_unstr=3 diff --git a/tests/fv3_conf/fv3_aqm.IN b/tests/fv3_conf/fv3_aqm.IN index 417f195fa0..f9ff9a77b9 100644 --- a/tests/fv3_conf/fv3_aqm.IN +++ b/tests/fv3_conf/fv3_aqm.IN @@ -1,35 +1,6 @@ rm -rf INPUT RESTART -mkdir INPUT RESTART +mkdir RESTART -cp -r @[INPUTDATA_ROOT]/AQM/INPUT/* ./INPUT/ -cp -r @[INPUTDATA_ROOT]/AQM/NEXUS . - -cp @[INPUTDATA_ROOT]/AQM/fix/C775.facsf.tile7.halo0.nc C775.facsf.tile1.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.facsf.tile7.halo4.nc C775.facsf.tile7.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.maximum_snow_albedo.tile7.halo0.nc C775.maximum_snow_albedo.tile1.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.maximum_snow_albedo.tile7.halo4.nc C775.maximum_snow_albedo.tile7.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.slope_type.tile7.halo0.nc C775.slope_type.tile1.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.slope_type.tile7.halo4.nc C775.slope_type.tile7.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.snowfree_albedo.tile7.halo0.nc C775.snowfree_albedo.tile1.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.snowfree_albedo.tile7.halo4.nc C775.snowfree_albedo.tile7.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.soil_type.tile7.halo0.nc C775.soil_type.tile1.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.soil_type.tile7.halo4.nc C775.soil_type.tile7.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.substrate_temperature.tile7.halo0.nc C775.substrate_temperature.tile1.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.substrate_temperature.tile7.halo4.nc C775.substrate_temperature.tile7.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.vegetation_greenness.tile7.halo0.nc C775.vegetation_greenness.tile1.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.vegetation_greenness.tile7.halo4.nc C775.vegetation_greenness.tile7.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.vegetation_type.tile7.halo0.nc C775.vegetation_type.tile1.nc -cp @[INPUTDATA_ROOT]/AQM/fix/C775.vegetation_type.tile7.halo4.nc C775.vegetation_type.tile7.nc -cp @[INPUTDATA_ROOT]/AQM/fix/AE_cb6r3_ae6_aq.nml . -cp @[INPUTDATA_ROOT]/AQM/fix/BEIS_RRFScmaq_C775.ncf . -cp @[INPUTDATA_ROOT]/AQM/fix/CSQY_DATA_cb6r3_ae6_aq . -cp @[INPUTDATA_ROOT]/AQM/fix/GC_cb6r3_ae6_aq.nml . -cp @[INPUTDATA_ROOT]/AQM/fix/global_soilmgldas.t126.384.190.grb . -cp @[INPUTDATA_ROOT]/AQM/fix/gspro_biogenics_1mar2017.txt . -cp @[INPUTDATA_ROOT]/AQM/fix/Hourly_Emissions_regrid_rrfs_13km_20190801_t12z_h72.nc . -cp @[INPUTDATA_ROOT]/AQM/fix/NR_cb6r3_ae6_aq.nml . -cp @[INPUTDATA_ROOT]/AQM/fix/omi_cmaq_2015_361X179.dat . -cp @[INPUTDATA_ROOT]/AQM/fix/PHOT_OPTICS.dat . -cp @[INPUTDATA_ROOT]/AQM/fix/Species_Table_TR_0.nml . -cp @[INPUTDATA_ROOT]/AQM/fix/pt-2019080112.nc . -cp @[INPUTDATA_ROOT]/AQM/fix/FENGSHA_p8_10km_inputs_CONUS_775.nc . +cp -r @[INPUTDATA_ROOT]/AQMv7/INPUT . +cp -r @[INPUTDATA_ROOT]/AQMv7/fix/* . +cp ${PATHRT}/parm/aqm/aqm.rc . diff --git a/tests/logs/RegressionTests_hera.log b/tests/logs/RegressionTests_hera.log index cc243f8e3d..8b34643ffd 100644 --- a/tests/logs/RegressionTests_hera.log +++ b/tests/logs/RegressionTests_hera.log @@ -1,12 +1,12 @@ ====START OF HERA REGRESSION TESTING LOG==== UFSWM hash used in testing: -b6d8becc13ec8c9642147ed808c4dad9aa862b47 +fe9a8a955ade6c1de683e1f20fc16d799d82fc6e Submodule hashes used in testing: - 37cbb7d6840ae7515a9a8f0dfd4d89461b3396d1 AQM (v0.2.0-37-g37cbb7d) - 42d4010d7d1116aa8c4ac322dd353cfbb3e3aaa1 CDEPS-interface/CDEPS (remotes/origin/dice_cplhist) - 180f60fd5c9f76d5656a68f8d478f0155f0dc695 CICE-interface/CICE (heads/emc/develop-11-g180f60f) + b79f95f7de95b431feb74400aebb3a57e992c759 AQM (v0.2.0-40-gb79f95f) + fbdf6843d6bde852d97f1547591d90136103f669 CDEPS-interface/CDEPS (cdeps0.4.17-41-gfbdf684) + d406c7e205bd62913eea0bc87bed1aeb63eb2f83 CICE-interface/CICE (CICE6.0.0-449-gd406c7e) 2d837b16af326b09ff4018daab4de84f4deff7ec CMEPS-interface/CMEPS (cmeps_v0.4.1-2307-g2d837b1) cabd7753ae17f7bfcc6dad56daf10868aa51c3f4 CMakeModules (v1.0.0-28-gcabd775) 07c26d1efae47445e4c36ff98942494788e7dc49 FV3 (heads/develop) @@ -24,379 +24,383 @@ The first time is for the full script (prep+run+finalize). The second time is specifically for the run phase. Times/Memory will be empty for failed tests. -BASELINE DIRECTORY: /scratch2/NAGAPE/epic/UFS-WM_RT/NEMSfv3gfs/develop-20240607 -COMPARISON DIRECTORY: /scratch1/NCEPDEV/stmp2/Jong.Kim/FV3_RT/rt_84008 +BASELINE DIRECTORY: /scratch2/NAGAPE/epic/UFS-WM_RT/NEMSfv3gfs/develop-20240612 +COMPARISON DIRECTORY: /scratch1/NCEPDEV/stmp2/Fernando.Andrade-maldonado/FV3_RT/rt_870589 RT.SH OPTIONS USED: -* (-a) - HPC PROJECT ACCOUNT: nems +* (-a) - HPC PROJECT ACCOUNT: epic +* (-l) - USE CONFIG FILE: rt.conf * (-e) - USE ECFLOW -PASS -- COMPILE 's2swa_32bit_intel' [16:12, 13:44] ( 1 warnings 8 remarks ) -PASS -- TEST 'cpld_control_p8_mixedmode_intel' [07:11, 05:46](3293 MB) - -PASS -- COMPILE 's2swa_32bit_pdlib_intel' [17:12, 16:36] ( 1 warnings 8 remarks ) -PASS -- TEST 'cpld_control_gfsv17_intel' [19:14, 17:22](1956 MB) -PASS -- TEST 'cpld_control_gfsv17_iau_intel' [19:31, 17:58](2123 MB) -PASS -- TEST 'cpld_restart_gfsv17_intel' [10:15, 08:13](1223 MB) -PASS -- TEST 'cpld_mpi_gfsv17_intel' [21:00, 19:36](1865 MB) - -PASS -- COMPILE 's2swa_32bit_pdlib_sfs_intel' [17:12, 16:25] ( 1 warnings 8 remarks ) -PASS -- TEST 'cpld_control_sfs_intel' [18:44, 16:59](1952 MB) - -PASS -- COMPILE 's2swa_32bit_pdlib_debug_intel' [06:12, 05:11] ( 1525 warnings 1998 remarks ) -PASS -- TEST 'cpld_debug_gfsv17_intel' [25:15, 22:54](1877 MB) - -PASS -- COMPILE 's2swa_intel' [14:12, 13:43] ( 8 remarks ) -PASS -- TEST 'cpld_control_p8_intel' [07:14, 05:59](3321 MB) -PASS -- TEST 'cpld_control_p8.v2.sfc_intel' [07:24, 05:59](3319 MB) -PASS -- TEST 'cpld_restart_p8_intel' [05:26, 03:38](3233 MB) -PASS -- TEST 'cpld_control_qr_p8_intel' [07:15, 05:57](3339 MB) -PASS -- TEST 'cpld_restart_qr_p8_intel' [05:26, 03:40](3252 MB) -PASS -- TEST 'cpld_2threads_p8_intel' [07:12, 05:48](3599 MB) -PASS -- TEST 'cpld_decomp_p8_intel' [07:13, 06:03](3311 MB) -PASS -- TEST 'cpld_mpi_p8_intel' [06:10, 05:02](3189 MB) -PASS -- TEST 'cpld_control_ciceC_p8_intel' [07:23, 06:04](3318 MB) -PASS -- TEST 'cpld_control_c192_p8_intel' [17:27, 10:37](3493 MB) -PASS -- TEST 'cpld_restart_c192_p8_intel' [10:03, 06:37](3600 MB) -PASS -- TEST 'cpld_bmark_p8_intel' [21:26, 10:06](4235 MB) -PASS -- TEST 'cpld_restart_bmark_p8_intel' [16:55, 06:31](4340 MB) -PASS -- TEST 'cpld_s2sa_p8_intel' [07:17, 05:38](3292 MB) - -PASS -- COMPILE 's2sw_intel' [13:11, 12:41] ( 8 remarks ) -PASS -- TEST 'cpld_control_noaero_p8_intel' [06:56, 04:54](1956 MB) -PASS -- TEST 'cpld_control_nowave_noaero_p8_intel' [07:03, 04:39](2034 MB) - -PASS -- COMPILE 's2swa_debug_intel' [06:11, 05:16] ( 1450 warnings 1228 remarks ) -PASS -- TEST 'cpld_debug_p8_intel' [11:12, 08:40](3309 MB) - -PASS -- COMPILE 's2sw_debug_intel' [06:11, 04:46] ( 1450 warnings 1228 remarks ) -PASS -- TEST 'cpld_debug_noaero_p8_intel' [08:04, 05:55](1966 MB) - -PASS -- COMPILE 's2s_aoflux_intel' [13:12, 12:12] ( 1 remarks ) -PASS -- TEST 'cpld_control_noaero_p8_agrid_intel' [07:03, 04:32](2026 MB) - -PASS -- COMPILE 's2s_intel' [12:12, 11:58] ( 1 remarks ) -PASS -- TEST 'cpld_control_c48_intel' [10:49, 09:46](3071 MB) -PASS -- TEST 'cpld_warmstart_c48_intel' [03:47, 02:52](3060 MB) -PASS -- TEST 'cpld_restart_c48_intel' [02:42, 01:42](2476 MB) - -PASS -- COMPILE 's2swa_faster_intel' [22:13, 21:41] ( 8 remarks ) -PASS -- TEST 'cpld_control_p8_faster_intel' [07:29, 05:39](3321 MB) - -PASS -- COMPILE 's2sw_pdlib_intel' [17:13, 16:19] ( 8 remarks ) -PASS -- TEST 'cpld_control_pdlib_p8_intel' [19:07, 17:30](1996 MB) -PASS -- TEST 'cpld_restart_pdlib_p8_intel' [10:22, 08:19](1251 MB) -PASS -- TEST 'cpld_mpi_pdlib_p8_intel' [21:16, 19:49](1890 MB) - -PASS -- COMPILE 's2sw_pdlib_debug_intel' [06:12, 05:05] ( 1560 warnings 1998 remarks ) -PASS -- TEST 'cpld_debug_pdlib_p8_intel' [27:05, 24:58](1901 MB) - -PASS -- COMPILE 'atm_dyn32_intel' [13:12, 12:29] ( 1 warnings 1 remarks ) -PASS -- TEST 'control_flake_intel' [04:24, 03:31](684 MB) -PASS -- TEST 'control_CubedSphereGrid_intel' [03:43, 02:59](1587 MB) -PASS -- TEST 'control_CubedSphereGrid_parallel_intel' [03:44, 03:03](1587 MB) -PASS -- TEST 'control_latlon_intel' [03:40, 02:56](1577 MB) -PASS -- TEST 'control_wrtGauss_netcdf_parallel_intel' [03:46, 02:57](1588 MB) -PASS -- TEST 'control_c48_intel' [08:45, 07:46](1740 MB) -PASS -- TEST 'control_c48.v2.sfc_intel' [07:31, 06:26](849 MB) -PASS -- TEST 'control_c192_intel' [12:01, 10:39](1729 MB) -PASS -- TEST 'control_c384_intel' [13:59, 10:43](1980 MB) -PASS -- TEST 'control_c384gdas_intel' [12:02, 08:31](1384 MB) -PASS -- TEST 'control_stochy_intel' [02:26, 01:40](640 MB) -PASS -- TEST 'control_stochy_restart_intel' [01:35, 01:03](483 MB) -PASS -- TEST 'control_lndp_intel' [02:25, 01:35](642 MB) -PASS -- TEST 'control_iovr4_intel' [03:26, 02:30](639 MB) -PASS -- TEST 'control_iovr5_intel' [03:27, 02:31](637 MB) -PASS -- TEST 'control_p8_intel' [05:04, 03:11](1879 MB) -PASS -- TEST 'control_p8.v2.sfc_intel' [05:10, 03:08](1871 MB) -PASS -- TEST 'control_p8_ugwpv1_intel' [04:01, 03:03](1876 MB) -PASS -- TEST 'control_restart_p8_intel' [02:59, 01:50](1117 MB) -PASS -- TEST 'control_noqr_p8_intel' [05:04, 03:07](1852 MB) -PASS -- TEST 'control_restart_noqr_p8_intel' [03:03, 01:47](1138 MB) -PASS -- TEST 'control_decomp_p8_intel' [05:02, 03:13](1866 MB) -PASS -- TEST 'control_2threads_p8_intel' [03:57, 02:58](1964 MB) -PASS -- TEST 'control_p8_lndp_intel' [06:50, 05:33](1874 MB) -PASS -- TEST 'control_p8_rrtmgp_intel' [06:05, 04:14](1951 MB) -PASS -- TEST 'control_p8_mynn_intel' [05:03, 03:09](1895 MB) -PASS -- TEST 'merra2_thompson_intel' [04:57, 03:33](1882 MB) -PASS -- TEST 'regional_control_intel' [06:46, 05:33](1088 MB) -PASS -- TEST 'regional_restart_intel' [03:42, 02:58](1083 MB) -PASS -- TEST 'regional_decomp_intel' [06:41, 05:54](1080 MB) -PASS -- TEST 'regional_2threads_intel' [04:39, 03:40](1079 MB) -PASS -- TEST 'regional_noquilt_intel' [06:40, 05:31](1379 MB) -PASS -- TEST 'regional_netcdf_parallel_intel' [06:42, 05:27](1083 MB) -PASS -- TEST 'regional_2dwrtdecomp_intel' [06:38, 05:32](1089 MB) -PASS -- TEST 'regional_wofs_intel' [07:38, 07:00](1892 MB) - -PASS -- COMPILE 'rrfs_intel' [12:12, 11:23] ( 3 warnings 9 remarks ) -PASS -- TEST 'rap_control_intel' [08:41, 08:02](1095 MB) -PASS -- TEST 'regional_spp_sppt_shum_skeb_intel' [06:13, 04:14](1288 MB) -PASS -- TEST 'rap_decomp_intel' [09:45, 08:17](1018 MB) -PASS -- TEST 'rap_2threads_intel' [08:38, 07:30](1171 MB) -PASS -- TEST 'rap_restart_intel' [05:49, 04:07](1093 MB) -PASS -- TEST 'rap_sfcdiff_intel' [08:45, 07:48](1082 MB) -PASS -- TEST 'rap_sfcdiff_decomp_intel' [09:42, 08:10](1021 MB) -PASS -- TEST 'rap_sfcdiff_restart_intel' [06:51, 05:56](1112 MB) -PASS -- TEST 'hrrr_control_intel' [05:38, 04:01](1021 MB) -PASS -- TEST 'hrrr_control_decomp_intel' [05:36, 04:10](1014 MB) -PASS -- TEST 'hrrr_control_2threads_intel' [04:42, 03:43](1090 MB) -PASS -- TEST 'hrrr_control_restart_intel' [03:32, 02:12](981 MB) -PASS -- TEST 'rrfs_v1beta_intel' [08:51, 07:44](1083 MB) -PASS -- TEST 'rrfs_v1nssl_intel' [10:33, 09:23](1975 MB) -PASS -- TEST 'rrfs_v1nssl_nohailnoccn_intel' [10:28, 09:12](2045 MB) - -PASS -- COMPILE 'csawmg_intel' [11:12, 10:48] -PASS -- TEST 'control_csawmg_intel' [07:54, 06:15](1010 MB) -PASS -- TEST 'control_ras_intel' [04:30, 03:19](728 MB) - -PASS -- COMPILE 'csawmg_gnu' [05:12, 04:24] -PASS -- TEST 'control_csawmg_gnu' [09:39, 08:30](738 MB) - -PASS -- COMPILE 'wam_intel' [11:11, 10:31] -PASS -- TEST 'control_wam_intel' [02:25, 02:08](639 MB) - -PASS -- COMPILE 'atm_faster_dyn32_intel' [19:13, 18:26] ( 1 remarks ) -PASS -- TEST 'control_p8_faster_intel' [04:03, 02:46](1888 MB) -PASS -- TEST 'regional_control_faster_intel' [05:40, 04:51](1079 MB) - -PASS -- COMPILE 'atm_debug_dyn32_intel' [07:12, 06:54] ( 884 warnings 9 remarks ) -PASS -- TEST 'control_CubedSphereGrid_debug_intel' [03:56, 02:40](1576 MB) -PASS -- TEST 'control_wrtGauss_netcdf_parallel_debug_intel' [03:56, 02:39](1577 MB) -PASS -- TEST 'control_stochy_debug_intel' [04:31, 03:05](781 MB) -PASS -- TEST 'control_lndp_debug_intel' [03:30, 02:46](782 MB) -PASS -- TEST 'control_csawmg_debug_intel' [05:51, 04:34](1103 MB) -PASS -- TEST 'control_ras_debug_intel' [03:32, 02:46](790 MB) -PASS -- TEST 'control_diag_debug_intel' [04:01, 02:44](1638 MB) -PASS -- TEST 'control_debug_p8_intel' [03:55, 03:04](1870 MB) -PASS -- TEST 'regional_debug_intel' [18:51, 17:31](1055 MB) -PASS -- TEST 'rap_control_debug_intel' [06:34, 04:54](1172 MB) -PASS -- TEST 'hrrr_control_debug_intel' [05:31, 04:46](1167 MB) -PASS -- TEST 'hrrr_gf_debug_intel' [06:34, 04:52](1173 MB) -PASS -- TEST 'hrrr_c3_debug_intel' [06:35, 04:56](1171 MB) -PASS -- TEST 'rap_unified_drag_suite_debug_intel' [06:35, 05:01](1162 MB) -PASS -- TEST 'rap_diag_debug_intel' [06:49, 05:13](1254 MB) -PASS -- TEST 'rap_cires_ugwp_debug_intel' [06:33, 04:59](1166 MB) -PASS -- TEST 'rap_unified_ugwp_debug_intel' [06:26, 05:09](1171 MB) -PASS -- TEST 'rap_lndp_debug_intel' [05:28, 05:06](1164 MB) -PASS -- TEST 'rap_progcld_thompson_debug_intel' [05:25, 04:55](1166 MB) -PASS -- TEST 'rap_noah_debug_intel' [05:24, 04:48](1170 MB) -PASS -- TEST 'rap_sfcdiff_debug_intel' [05:30, 04:59](1166 MB) -PASS -- TEST 'rap_noah_sfcdiff_cires_ugwp_debug_intel' [08:27, 08:02](1170 MB) -PASS -- TEST 'rrfs_v1beta_debug_intel' [05:24, 04:54](1159 MB) -PASS -- TEST 'rap_clm_lake_debug_intel' [06:29, 05:58](1167 MB) -PASS -- TEST 'rap_flake_debug_intel' [06:27, 05:01](1167 MB) -PASS -- TEST 'gnv1_c96_no_nest_debug_intel' [09:44, 08:26](1174 MB) - -PASS -- COMPILE 'atm_debug_dyn32_gnu' [05:11, 04:36] -PASS -- TEST 'control_csawmg_debug_gnu' [03:37, 02:21](708 MB) - -PASS -- COMPILE 'wam_debug_intel' [06:11, 04:22] ( 855 warnings ) -PASS -- TEST 'control_wam_debug_intel' [06:23, 05:02](471 MB) - -PASS -- COMPILE 'rrfs_dyn32_phy32_intel' [11:12, 11:07] ( 3 warnings 8 remarks ) -PASS -- TEST 'regional_spp_sppt_shum_skeb_dyn32_phy32_intel' [06:08, 04:00](1146 MB) -PASS -- TEST 'rap_control_dyn32_phy32_intel' [07:40, 06:31](1026 MB) -PASS -- TEST 'hrrr_control_dyn32_phy32_intel' [04:42, 03:26](969 MB) -PASS -- TEST 'rap_2threads_dyn32_phy32_intel' [07:35, 06:14](1079 MB) -PASS -- TEST 'hrrr_control_2threads_dyn32_phy32_intel' [04:36, 03:16](946 MB) -PASS -- TEST 'hrrr_control_decomp_dyn32_phy32_intel' [04:36, 03:39](921 MB) -PASS -- TEST 'rap_restart_dyn32_phy32_intel' [05:52, 04:54](1018 MB) -PASS -- TEST 'hrrr_control_restart_dyn32_phy32_intel' [02:35, 01:57](915 MB) - -PASS -- COMPILE 'rrfs_dyn32_phy32_faster_intel' [16:12, 15:09] ( 3 warnings 8 remarks ) -PASS -- TEST 'conus13km_control_intel' [03:59, 02:10](1190 MB) -PASS -- TEST 'conus13km_2threads_intel' [01:48, 00:56](1106 MB) -PASS -- TEST 'conus13km_restart_mismatch_intel' [02:50, 01:17](1098 MB) - -PASS -- COMPILE 'rrfs_dyn64_phy32_intel' [11:11, 10:59] ( 3 warnings 8 remarks ) -PASS -- TEST 'rap_control_dyn64_phy32_intel' [05:45, 04:17](965 MB) - -PASS -- COMPILE 'rrfs_dyn32_phy32_debug_intel' [05:11, 04:24] ( 787 warnings 8 remarks ) -PASS -- TEST 'rap_control_debug_dyn32_phy32_intel' [06:25, 04:53](1043 MB) -PASS -- TEST 'hrrr_control_debug_dyn32_phy32_intel' [05:25, 04:42](1048 MB) -PASS -- TEST 'conus13km_debug_intel' [15:55, 14:39](1182 MB) -PASS -- TEST 'conus13km_debug_qr_intel' [15:54, 14:37](881 MB) -PASS -- TEST 'conus13km_debug_2threads_intel' [09:52, 08:18](1107 MB) -PASS -- TEST 'conus13km_radar_tten_debug_intel' [15:47, 14:32](1250 MB) - -PASS -- COMPILE 'rrfs_dyn64_phy32_debug_intel' [04:12, 04:05] ( 787 warnings 8 remarks ) -PASS -- TEST 'rap_control_dyn64_phy32_debug_intel' [06:26, 05:00](1092 MB) - -PASS -- COMPILE 'hafsw_intel' [13:13, 12:38] ( 1 warnings 8 remarks ) -PASS -- TEST 'hafs_regional_atm_intel' [07:17, 05:16](720 MB) -PASS -- TEST 'hafs_regional_atm_thompson_gfdlsf_intel' [08:43, 06:36](1095 MB) -PASS -- TEST 'hafs_regional_atm_ocn_intel' [09:45, 07:14](810 MB) -PASS -- TEST 'hafs_regional_atm_wav_intel' [15:23, 13:45](844 MB) -PASS -- TEST 'hafs_regional_atm_ocn_wav_intel' [17:36, 15:23](860 MB) -PASS -- TEST 'hafs_regional_1nest_atm_intel' [07:09, 05:40](485 MB) -PASS -- TEST 'hafs_regional_telescopic_2nests_atm_intel' [08:33, 07:03](496 MB) -PASS -- TEST 'hafs_global_1nest_atm_intel' [03:53, 02:52](357 MB) -PASS -- TEST 'hafs_global_multiple_4nests_atm_intel' [10:20, 07:36](470 MB) -PASS -- TEST 'hafs_regional_specified_moving_1nest_atm_intel' [04:50, 03:55](515 MB) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_intel' [04:53, 03:41](514 MB) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_intel' [06:04, 04:14](570 MB) -PASS -- TEST 'hafs_global_storm_following_1nest_atm_intel' [02:30, 01:14](386 MB) -PASS -- TEST 'gnv1_nested_intel' [06:39, 04:03](1735 MB) - -PASS -- COMPILE 'hafsw_debug_intel' [05:11, 04:39] ( 1467 warnings 1501 remarks ) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_debug_intel' [14:01, 12:44](536 MB) - -PASS -- COMPILE 'hafsw_faster_intel' [21:13, 20:39] ( 7 remarks ) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_intel' [10:02, 08:49](629 MB) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_inline_intel' [11:08, 08:57](721 MB) - -PASS -- COMPILE 'hafs_mom6w_intel' [13:12, 12:55] ( 7 remarks ) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_mom6_intel' [09:06, 06:36](700 MB) - -PASS -- COMPILE 'hafs_all_intel' [12:12, 11:50] ( 7 remarks ) -PASS -- TEST 'hafs_regional_docn_intel' [08:21, 06:30](810 MB) -PASS -- TEST 'hafs_regional_docn_oisst_intel' [08:20, 06:46](795 MB) -PASS -- TEST 'hafs_regional_datm_cdeps_intel' [17:01, 15:58](1236 MB) - -PASS -- COMPILE 'datm_cdeps_intel' [07:11, 07:01] -PASS -- TEST 'datm_cdeps_control_cfsr_intel' [03:26, 02:46](1136 MB) -PASS -- TEST 'datm_cdeps_restart_cfsr_intel' [02:22, 01:39](1108 MB) -PASS -- TEST 'datm_cdeps_control_gefs_intel' [03:22, 02:38](1012 MB) -PASS -- TEST 'datm_cdeps_iau_gefs_intel' [03:23, 02:39](1018 MB) -PASS -- TEST 'datm_cdeps_stochy_gefs_intel' [03:21, 02:37](1010 MB) -PASS -- TEST 'datm_cdeps_ciceC_cfsr_intel' [03:20, 02:39](1142 MB) -PASS -- TEST 'datm_cdeps_bulk_cfsr_intel' [03:21, 02:44](1145 MB) -PASS -- TEST 'datm_cdeps_bulk_gefs_intel' [03:20, 02:36](1014 MB) -PASS -- TEST 'datm_cdeps_mx025_cfsr_intel' [08:42, 06:23](1062 MB) -PASS -- TEST 'datm_cdeps_mx025_gefs_intel' [08:30, 06:22](1037 MB) -PASS -- TEST 'datm_cdeps_multiple_files_cfsr_intel' [03:18, 02:44](1131 MB) -PASS -- TEST 'datm_cdeps_3072x1536_cfsr_intel' [04:22, 03:57](2379 MB) -PASS -- TEST 'datm_cdeps_gfs_intel' [05:22, 04:07](2487 MB) - -PASS -- COMPILE 'datm_cdeps_debug_intel' [04:11, 03:20] ( 2 warnings ) -PASS -- TEST 'datm_cdeps_debug_cfsr_intel' [07:21, 06:22](1060 MB) - -PASS -- COMPILE 'datm_cdeps_faster_intel' [07:13, 06:42] -PASS -- TEST 'datm_cdeps_control_cfsr_faster_intel' [03:21, 02:41](1127 MB) - -PASS -- COMPILE 'datm_cdeps_land_intel' [02:10, 01:56] ( 1 remarks ) -PASS -- TEST 'datm_cdeps_lnd_gswp3_intel' [02:32, 00:52](253 MB) -PASS -- TEST 'datm_cdeps_lnd_era5_intel' [02:27, 00:53](313 MB) -PASS -- TEST 'datm_cdeps_lnd_era5_rst_intel' [01:27, 00:34](314 MB) - -PASS -- COMPILE 'atm_ds2s_docn_pcice_intel' [12:12, 11:58] ( 1 remarks ) -PASS -- TEST 'atm_ds2s_docn_pcice_intel' [05:07, 03:45](1968 MB) - -PASS -- COMPILE 'atm_ds2s_docn_dice_intel' [12:12, 11:41] ( 1 remarks ) -PASS -- TEST 'atm_ds2s_docn_dice_intel' [05:12, 03:39](1943 MB) - -PASS -- COMPILE 'atml_intel' [13:13, 12:39] ( 8 warnings 2 remarks ) -PASS -- TEST 'control_p8_atmlnd_sbs_intel' [06:07, 04:30](1851 MB) -PASS -- TEST 'control_p8_atmlnd_intel' [06:05, 04:31](1847 MB) -PASS -- TEST 'control_restart_p8_atmlnd_intel' [03:54, 02:28](1070 MB) - -PASS -- COMPILE 'atml_debug_intel' [06:11, 05:09] ( 882 warnings 2 remarks ) -PASS -- TEST 'control_p8_atmlnd_debug_intel' [06:58, 05:43](1839 MB) - -PASS -- COMPILE 'atmw_intel' [12:12, 11:47] ( 8 remarks ) -PASS -- TEST 'atmwav_control_noaero_p8_intel' [03:50, 01:54](1896 MB) - -PASS -- COMPILE 'atmaero_intel' [12:12, 11:29] ( 1 remarks ) -PASS -- TEST 'atmaero_control_p8_intel' [06:00, 04:15](3170 MB) -PASS -- TEST 'atmaero_control_p8_rad_intel' [05:57, 04:53](3077 MB) -PASS -- TEST 'atmaero_control_p8_rad_micro_intel' [05:45, 04:58](3087 MB) - -PASS -- COMPILE 'atmaq_debug_intel' [04:11, 04:01] ( 884 warnings 6 remarks ) -PASS -- TEST 'regional_atmaq_debug_intel' [24:09, 22:11](4447 MB) - -PASS -- COMPILE 'atm_gnu' [05:11, 04:48] -PASS -- TEST 'control_c48_gnu' [12:42, 11:20](1532 MB) -PASS -- TEST 'control_stochy_gnu' [04:24, 03:23](493 MB) -PASS -- TEST 'control_ras_gnu' [05:22, 04:46](495 MB) -PASS -- TEST 'control_p8_gnu' [06:00, 04:48](1437 MB) -PASS -- TEST 'control_p8_ugwpv1_gnu' [05:52, 04:44](1450 MB) -PASS -- TEST 'control_flake_gnu' [11:25, 10:16](538 MB) - -PASS -- COMPILE 'rrfs_gnu' [05:12, 04:15] -PASS -- TEST 'rap_control_gnu' [11:33, 10:54](807 MB) -PASS -- TEST 'rap_decomp_gnu' [11:33, 10:57](847 MB) -PASS -- TEST 'rap_2threads_gnu' [10:41, 09:55](932 MB) -PASS -- TEST 'rap_restart_gnu' [06:46, 05:32](566 MB) -PASS -- TEST 'rap_sfcdiff_gnu' [11:41, 10:51](812 MB) -PASS -- TEST 'rap_sfcdiff_decomp_gnu' [11:38, 11:05](843 MB) -PASS -- TEST 'rap_sfcdiff_restart_gnu' [09:51, 08:10](574 MB) -PASS -- TEST 'hrrr_control_gnu' [06:37, 05:37](808 MB) -PASS -- TEST 'hrrr_control_noqr_gnu' [06:35, 05:36](795 MB) -PASS -- TEST 'hrrr_control_2threads_gnu' [05:47, 05:05](923 MB) -PASS -- TEST 'hrrr_control_decomp_gnu' [06:34, 05:43](839 MB) -PASS -- TEST 'hrrr_control_restart_gnu' [03:34, 02:58](554 MB) -PASS -- TEST 'hrrr_control_restart_noqr_gnu' [03:30, 02:51](648 MB) -PASS -- TEST 'rrfs_v1beta_gnu' [11:45, 10:33](803 MB) - -PASS -- COMPILE 'atm_dyn32_debug_gnu' [08:12, 07:50] -PASS -- TEST 'control_diag_debug_gnu' [02:50, 01:39](1259 MB) -PASS -- TEST 'regional_debug_gnu' [12:42, 11:55](714 MB) -PASS -- TEST 'rap_control_debug_gnu' [03:27, 02:37](805 MB) -PASS -- TEST 'hrrr_control_debug_gnu' [03:26, 02:35](808 MB) -PASS -- TEST 'hrrr_gf_debug_gnu' [03:25, 02:39](809 MB) -PASS -- TEST 'hrrr_c3_debug_gnu' [03:24, 02:39](813 MB) -PASS -- TEST 'rap_diag_debug_gnu' [04:40, 02:48](895 MB) -PASS -- TEST 'rap_noah_sfcdiff_cires_ugwp_debug_gnu' [05:23, 04:03](806 MB) -PASS -- TEST 'rap_progcld_thompson_debug_gnu' [04:24, 02:37](811 MB) -PASS -- TEST 'rrfs_v1beta_debug_gnu' [04:26, 02:38](805 MB) -PASS -- TEST 'control_ras_debug_gnu' [02:22, 01:32](445 MB) -PASS -- TEST 'control_stochy_debug_gnu' [02:28, 01:42](436 MB) -PASS -- TEST 'control_debug_p8_gnu' [02:42, 01:48](1415 MB) -PASS -- TEST 'rap_flake_debug_gnu' [03:24, 02:36](811 MB) -PASS -- TEST 'rap_clm_lake_debug_gnu' [03:24, 02:56](813 MB) -PASS -- TEST 'gnv1_c96_no_nest_debug_gnu' [05:40, 04:21](823 MB) - -PASS -- COMPILE 'wam_debug_gnu' [03:11, 02:29] -PASS -- TEST 'control_wam_debug_gnu' [03:24, 02:28](170 MB) - -PASS -- COMPILE 'rrfs_dyn32_phy32_gnu' [05:12, 04:14] -PASS -- TEST 'rap_control_dyn32_phy32_gnu' [10:38, 09:32](698 MB) -PASS -- TEST 'hrrr_control_dyn32_phy32_gnu' [05:40, 04:56](702 MB) -PASS -- TEST 'rap_2threads_dyn32_phy32_gnu' [09:44, 08:41](749 MB) -PASS -- TEST 'hrrr_control_2threads_dyn32_phy32_gnu' [05:38, 04:35](740 MB) -PASS -- TEST 'hrrr_control_decomp_dyn32_phy32_gnu' [06:34, 05:08](696 MB) -PASS -- TEST 'rap_restart_dyn32_phy32_gnu' [07:43, 07:01](539 MB) -PASS -- TEST 'hrrr_control_restart_dyn32_phy32_gnu' [03:31, 02:36](530 MB) -PASS -- TEST 'conus13km_control_gnu' [05:08, 03:15](865 MB) -PASS -- TEST 'conus13km_2threads_gnu' [06:48, 05:49](874 MB) -PASS -- TEST 'conus13km_restart_mismatch_gnu' [02:47, 01:49](546 MB) - -PASS -- COMPILE 'atm_dyn64_phy32_gnu' [11:12, 10:58] -PASS -- TEST 'rap_control_dyn64_phy32_gnu' [06:40, 05:40](727 MB) - -PASS -- COMPILE 'atm_dyn32_phy32_debug_gnu' [08:11, 07:41] -PASS -- TEST 'rap_control_debug_dyn32_phy32_gnu' [03:27, 02:36](701 MB) -PASS -- TEST 'hrrr_control_debug_dyn32_phy32_gnu' [03:24, 02:32](698 MB) -PASS -- TEST 'conus13km_debug_gnu' [07:53, 07:02](863 MB) -PASS -- TEST 'conus13km_debug_qr_gnu' [08:49, 07:14](562 MB) -PASS -- TEST 'conus13km_debug_2threads_gnu' [08:44, 07:41](865 MB) -PASS -- TEST 'conus13km_radar_tten_debug_gnu' [07:48, 07:08](931 MB) - -PASS -- COMPILE 'atm_dyn64_phy32_debug_gnu' [08:12, 07:47] -PASS -- TEST 'rap_control_dyn64_phy32_debug_gnu' [03:25, 02:35](727 MB) - -PASS -- COMPILE 's2swa_gnu' [17:12, 16:34] - -PASS -- COMPILE 's2s_gnu' [17:13, 16:20] -PASS -- TEST 'cpld_control_nowave_noaero_p8_gnu' [10:06, 07:47](1510 MB) - -PASS -- COMPILE 's2swa_debug_gnu' [04:11, 03:22] - -PASS -- COMPILE 's2sw_pdlib_gnu' [16:13, 15:46] -PASS -- TEST 'cpld_control_pdlib_p8_gnu' [21:01, 19:19](1435 MB) - -PASS -- COMPILE 's2sw_pdlib_debug_gnu' [04:12, 03:08] -PASS -- TEST 'cpld_debug_pdlib_p8_gnu' [18:58, 16:35](1451 MB) - -PASS -- COMPILE 'datm_cdeps_gnu' [16:13, 15:32] -PASS -- TEST 'datm_cdeps_control_cfsr_gnu' [03:20, 03:01](685 MB) +PASS -- COMPILE 's2swa_32bit_intel' [15:13, 13:41] ( 1 warnings 8 remarks ) +PASS -- TEST 'cpld_control_p8_mixedmode_intel' [08:16, 05:44](3307 MB) + +PASS -- COMPILE 's2swa_32bit_pdlib_intel' [19:13, 16:47] ( 1 warnings 8 remarks ) +PASS -- TEST 'cpld_control_gfsv17_intel' [19:02, 17:10](1974 MB) +PASS -- TEST 'cpld_control_gfsv17_iau_intel' [20:15, 18:06](2167 MB) +PASS -- TEST 'cpld_restart_gfsv17_intel' [13:11, 08:10](1252 MB) +PASS -- TEST 'cpld_mpi_gfsv17_intel' [21:00, 19:16](1875 MB) + +PASS -- COMPILE 's2swa_32bit_pdlib_sfs_intel' [19:13, 17:13] ( 1 warnings 8 remarks ) +PASS -- TEST 'cpld_control_sfs_intel' [22:44, 16:53](1970 MB) + +PASS -- COMPILE 's2swa_32bit_pdlib_debug_intel' [06:12, 05:36] ( 1525 warnings 1998 remarks ) +PASS -- TEST 'cpld_debug_gfsv17_intel' [25:09, 22:56](1937 MB) + +PASS -- COMPILE 's2swa_intel' [15:13, 13:28] ( 8 remarks ) +PASS -- TEST 'cpld_control_p8_intel' [10:17, 06:00](3323 MB) +PASS -- TEST 'cpld_control_p8.v2.sfc_intel' [10:20, 06:00](3347 MB) +PASS -- TEST 'cpld_restart_p8_intel' [05:14, 03:35](3245 MB) +PASS -- TEST 'cpld_control_qr_p8_intel' [14:19, 05:59](3356 MB) +PASS -- TEST 'cpld_restart_qr_p8_intel' [13:21, 03:33](3287 MB) +PASS -- TEST 'cpld_2threads_p8_intel' [07:09, 05:42](3624 MB) +PASS -- TEST 'cpld_decomp_p8_intel' [14:19, 06:04](3330 MB) +PASS -- TEST 'cpld_mpi_p8_intel' [06:17, 04:49](3232 MB) +PASS -- TEST 'cpld_control_ciceC_p8_intel' [13:26, 05:57](3334 MB) +PASS -- TEST 'cpld_control_c192_p8_intel' [16:15, 10:18](3527 MB) +PASS -- TEST 'cpld_restart_c192_p8_intel' [15:50, 06:30](3627 MB) +PASS -- TEST 'cpld_bmark_p8_intel' [18:03, 09:55](4285 MB) +PASS -- TEST 'cpld_restart_bmark_p8_intel' [17:46, 06:18](4374 MB) +PASS -- TEST 'cpld_s2sa_p8_intel' [08:13, 05:33](3302 MB) + +PASS -- COMPILE 's2sw_intel' [16:13, 13:10] ( 8 remarks ) +PASS -- TEST 'cpld_control_noaero_p8_intel' [12:02, 04:55](2000 MB) +PASS -- TEST 'cpld_control_nowave_noaero_p8_intel' [12:09, 04:41](2052 MB) + +PASS -- COMPILE 's2swa_debug_intel' [08:12, 05:50] ( 1450 warnings 1228 remarks ) +PASS -- TEST 'cpld_debug_p8_intel' [10:05, 08:36](3382 MB) + +PASS -- COMPILE 's2sw_debug_intel' [06:12, 05:13] ( 1450 warnings 1228 remarks ) +PASS -- TEST 'cpld_debug_noaero_p8_intel' [08:15, 05:54](2000 MB) + +PASS -- COMPILE 's2s_aoflux_intel' [13:12, 12:28] ( 1 remarks ) +PASS -- TEST 'cpld_control_noaero_p8_agrid_intel' [05:59, 04:27](2026 MB) + +PASS -- COMPILE 's2s_intel' [15:13, 12:23] ( 1 remarks ) +PASS -- TEST 'cpld_control_c48_intel' [18:00, 09:41](3102 MB) +PASS -- TEST 'cpld_warmstart_c48_intel' [10:57, 02:53](3077 MB) +PASS -- TEST 'cpld_restart_c48_intel' [02:53, 01:41](2507 MB) + +PASS -- COMPILE 's2swa_faster_intel' [22:13, 21:25] ( 8 remarks ) +PASS -- TEST 'cpld_control_p8_faster_intel' [15:13, 05:36](3343 MB) + +PASS -- COMPILE 's2sw_pdlib_intel' [17:13, 16:23] ( 8 remarks ) +PASS -- TEST 'cpld_control_pdlib_p8_intel' [19:04, 17:14](2014 MB) +PASS -- TEST 'cpld_restart_pdlib_p8_intel' [10:17, 08:11](1279 MB) +PASS -- TEST 'cpld_mpi_pdlib_p8_intel' [21:01, 19:45](1922 MB) + +PASS -- COMPILE 's2sw_pdlib_debug_intel' [06:11, 04:59] ( 1560 warnings 1998 remarks ) +PASS -- TEST 'cpld_debug_pdlib_p8_intel' [26:54, 25:10](1947 MB) + +PASS -- COMPILE 'atm_dyn32_intel' [13:13, 12:09] ( 1 warnings 1 remarks ) +PASS -- TEST 'control_flake_intel' [10:25, 03:20](699 MB) +PASS -- TEST 'control_CubedSphereGrid_intel' [10:48, 02:56](1605 MB) +PASS -- TEST 'control_CubedSphereGrid_parallel_intel' [10:02, 02:57](1605 MB) +PASS -- TEST 'control_latlon_intel' [09:53, 02:52](1598 MB) +PASS -- TEST 'control_wrtGauss_netcdf_parallel_intel' [11:51, 02:56](1607 MB) +PASS -- TEST 'control_c48_intel' [15:50, 07:42](1755 MB) +PASS -- TEST 'control_c48.v2.sfc_intel' [08:30, 06:26](874 MB) +PASS -- TEST 'control_c192_intel' [15:04, 10:38](1753 MB) +PASS -- TEST 'control_c384_intel' [12:59, 10:31](2008 MB) +PASS -- TEST 'control_c384gdas_intel' [13:55, 08:02](1407 MB) +PASS -- TEST 'control_stochy_intel' [02:25, 01:39](656 MB) +PASS -- TEST 'control_stochy_restart_intel' [10:31, 01:00](511 MB) +PASS -- TEST 'control_lndp_intel' [02:25, 01:34](658 MB) +PASS -- TEST 'control_iovr4_intel' [09:39, 02:26](656 MB) +PASS -- TEST 'control_iovr5_intel' [05:26, 02:30](658 MB) +PASS -- TEST 'control_p8_intel' [12:58, 03:08](1888 MB) +PASS -- TEST 'control_p8.v2.sfc_intel' [12:59, 03:06](1901 MB) +PASS -- TEST 'control_p8_ugwpv1_intel' [12:54, 03:02](1900 MB) +PASS -- TEST 'control_restart_p8_intel' [03:48, 01:49](1129 MB) +PASS -- TEST 'control_noqr_p8_intel' [12:47, 03:06](1888 MB) +PASS -- TEST 'control_restart_noqr_p8_intel' [04:52, 01:42](1156 MB) +PASS -- TEST 'control_decomp_p8_intel' [12:45, 03:08](1886 MB) +PASS -- TEST 'control_2threads_p8_intel' [10:48, 02:57](1993 MB) +PASS -- TEST 'control_p8_lndp_intel' [12:42, 05:27](1902 MB) +PASS -- TEST 'control_p8_rrtmgp_intel' [10:56, 04:06](1962 MB) +PASS -- TEST 'control_p8_mynn_intel' [10:53, 03:05](1907 MB) +PASS -- TEST 'merra2_thompson_intel' [11:55, 03:29](1907 MB) +PASS -- TEST 'regional_control_intel' [12:45, 05:24](1105 MB) +PASS -- TEST 'regional_restart_intel' [05:40, 02:54](1098 MB) +PASS -- TEST 'regional_decomp_intel' [12:39, 05:42](1094 MB) +PASS -- TEST 'regional_2threads_intel' [05:38, 03:29](1087 MB) +PASS -- TEST 'regional_noquilt_intel' [11:35, 05:22](1394 MB) +PASS -- TEST 'regional_netcdf_parallel_intel' [11:39, 05:21](1098 MB) +PASS -- TEST 'regional_2dwrtdecomp_intel' [08:43, 05:23](1098 MB) +PASS -- TEST 'regional_wofs_intel' [10:39, 06:56](1918 MB) + +PASS -- COMPILE 'rrfs_intel' [12:13, 11:16] ( 3 warnings 9 remarks ) +PASS -- TEST 'rap_control_intel' [10:43, 07:48](1113 MB) +PASS -- TEST 'regional_spp_sppt_shum_skeb_intel' [07:08, 04:12](1299 MB) +PASS -- TEST 'rap_decomp_intel' [10:36, 08:12](1035 MB) +PASS -- TEST 'rap_2threads_intel' [09:34, 07:21](1187 MB) +PASS -- TEST 'rap_restart_intel' [05:46, 04:02](1098 MB) +PASS -- TEST 'rap_sfcdiff_intel' [09:44, 07:48](1099 MB) +PASS -- TEST 'rap_sfcdiff_decomp_intel' [09:41, 08:16](1044 MB) +PASS -- TEST 'rap_sfcdiff_restart_intel' [07:48, 05:48](1134 MB) +PASS -- TEST 'hrrr_control_intel' [05:46, 04:04](1041 MB) +PASS -- TEST 'hrrr_control_decomp_intel' [05:35, 04:11](1033 MB) +PASS -- TEST 'hrrr_control_2threads_intel' [06:38, 03:39](1114 MB) +PASS -- TEST 'hrrr_control_restart_intel' [04:34, 02:08](1007 MB) +PASS -- TEST 'rrfs_v1beta_intel' [10:46, 07:34](1099 MB) +PASS -- TEST 'rrfs_v1nssl_intel' [12:27, 09:21](1995 MB) +PASS -- TEST 'rrfs_v1nssl_nohailnoccn_intel' [15:26, 09:04](2058 MB) + +PASS -- COMPILE 'csawmg_intel' [17:13, 10:37] +PASS -- TEST 'control_csawmg_intel' [11:40, 06:07](1027 MB) +PASS -- TEST 'control_ras_intel' [08:24, 03:14](748 MB) + +PASS -- COMPILE 'csawmg_gnu' [11:12, 04:22] +PASS -- TEST 'control_csawmg_gnu' [13:38, 08:33](742 MB) + +PASS -- COMPILE 'wam_intel' [17:13, 10:11] +PASS -- TEST 'control_wam_intel' [07:27, 02:04](656 MB) + +PASS -- COMPILE 'atm_faster_dyn32_intel' [25:13, 18:22] ( 1 remarks ) +PASS -- TEST 'control_p8_faster_intel' [06:56, 02:44](1871 MB) +PASS -- TEST 'regional_control_faster_intel' [09:39, 04:50](1096 MB) + +PASS -- COMPILE 'atm_debug_dyn32_intel' [11:12, 06:29] ( 884 warnings 9 remarks ) +PASS -- TEST 'control_CubedSphereGrid_debug_intel' [05:47, 02:37](1600 MB) +PASS -- TEST 'control_wrtGauss_netcdf_parallel_debug_intel' [05:44, 02:31](1618 MB) +PASS -- TEST 'control_stochy_debug_intel' [05:24, 03:01](819 MB) +PASS -- TEST 'control_lndp_debug_intel' [06:25, 02:44](823 MB) +PASS -- TEST 'control_csawmg_debug_intel' [07:38, 04:14](1136 MB) +PASS -- TEST 'control_ras_debug_intel' [05:25, 02:43](826 MB) +PASS -- TEST 'control_diag_debug_intel' [05:46, 02:41](1670 MB) +PASS -- TEST 'control_debug_p8_intel' [05:41, 02:59](1916 MB) +PASS -- TEST 'regional_debug_intel' [18:36, 17:35](1096 MB) +PASS -- TEST 'rap_control_debug_intel' [06:25, 04:52](1208 MB) +PASS -- TEST 'hrrr_control_debug_intel' [06:24, 04:44](1208 MB) +PASS -- TEST 'hrrr_gf_debug_intel' [06:23, 04:51](1215 MB) +PASS -- TEST 'hrrr_c3_debug_intel' [06:25, 04:53](1213 MB) +PASS -- TEST 'rap_unified_drag_suite_debug_intel' [06:23, 04:57](1213 MB) +PASS -- TEST 'rap_diag_debug_intel' [06:40, 05:04](1298 MB) +PASS -- TEST 'rap_cires_ugwp_debug_intel' [06:24, 04:56](1208 MB) +PASS -- TEST 'rap_unified_ugwp_debug_intel' [05:25, 04:51](1210 MB) +PASS -- TEST 'rap_lndp_debug_intel' [06:27, 04:56](1209 MB) +PASS -- TEST 'rap_progcld_thompson_debug_intel' [06:26, 04:52](1209 MB) +PASS -- TEST 'rap_noah_debug_intel' [06:25, 04:43](1208 MB) +PASS -- TEST 'rap_sfcdiff_debug_intel' [06:24, 04:54](1208 MB) +PASS -- TEST 'rap_noah_sfcdiff_cires_ugwp_debug_intel' [09:26, 08:01](1203 MB) +PASS -- TEST 'rrfs_v1beta_debug_intel' [05:25, 04:44](1203 MB) +PASS -- TEST 'rap_clm_lake_debug_intel' [07:24, 05:47](1215 MB) +PASS -- TEST 'rap_flake_debug_intel' [06:25, 04:55](1213 MB) +PASS -- TEST 'gnv1_c96_no_nest_debug_intel' [09:39, 08:27](1217 MB) + +PASS -- COMPILE 'atm_debug_dyn32_gnu' [08:12, 04:58] +PASS -- TEST 'control_csawmg_debug_gnu' [05:40, 02:18](716 MB) + +PASS -- COMPILE 'wam_debug_intel' [05:13, 03:38] ( 855 warnings ) +PASS -- TEST 'control_wam_debug_intel' [05:24, 05:02](523 MB) + +PASS -- COMPILE 'rrfs_dyn32_phy32_intel' [11:12, 10:37] ( 3 warnings 8 remarks ) +PASS -- TEST 'regional_spp_sppt_shum_skeb_dyn32_phy32_intel' [13:06, 03:51](1158 MB) +PASS -- TEST 'rap_control_dyn32_phy32_intel' [09:37, 06:24](1054 MB) +PASS -- TEST 'hrrr_control_dyn32_phy32_intel' [12:38, 03:24](991 MB) +PASS -- TEST 'rap_2threads_dyn32_phy32_intel' [19:39, 06:06](1095 MB) +PASS -- TEST 'hrrr_control_2threads_dyn32_phy32_intel' [57:36, 03:09](971 MB) +PASS -- TEST 'hrrr_control_decomp_dyn32_phy32_intel' [53:37, 03:38](931 MB) +PASS -- TEST 'rap_restart_dyn32_phy32_intel' [58:53, 04:57](1035 MB) +PASS -- TEST 'hrrr_control_restart_dyn32_phy32_intel' [02:45, 01:55](933 MB) + +PASS -- COMPILE 'rrfs_dyn32_phy32_faster_intel' [16:13, 14:38] ( 3 warnings 8 remarks ) +PASS -- TEST 'conus13km_control_intel' [59:05, 02:07](1206 MB) +PASS -- TEST 'conus13km_2threads_intel' [22:55, 00:56](1127 MB) +PASS -- TEST 'conus13km_restart_mismatch_intel' [20:52, 01:14](1108 MB) + +PASS -- COMPILE 'rrfs_dyn64_phy32_intel' [17:13, 10:46] ( 3 warnings 8 remarks ) +PASS -- TEST 'rap_control_dyn64_phy32_intel' [57:45, 04:14](990 MB) + +PASS -- COMPILE 'rrfs_dyn32_phy32_debug_intel' [11:12, 04:04] ( 787 warnings 8 remarks ) +PASS -- TEST 'rap_control_debug_dyn32_phy32_intel' [00:31, 04:58](1080 MB) +PASS -- TEST 'hrrr_control_debug_dyn32_phy32_intel' [00:31, 04:42](1079 MB) +PASS -- TEST 'conus13km_debug_intel' [14:00, 14:24](1235 MB) +PASS -- TEST 'conus13km_debug_qr_intel' [14:56, 14:51](942 MB) +PASS -- TEST 'conus13km_debug_2threads_intel' [08:54, 08:11](1165 MB) +PASS -- TEST 'conus13km_radar_tten_debug_intel' [25:54, 14:31](1301 MB) + +PASS -- COMPILE 'rrfs_dyn64_phy32_debug_intel' [11:13, 04:06] ( 787 warnings 8 remarks ) +PASS -- TEST 'rap_control_dyn64_phy32_debug_intel' [16:34, 04:57](1133 MB) + +PASS -- COMPILE 'hafsw_intel' [21:13, 12:37] ( 1 warnings 8 remarks ) +PASS -- TEST 'hafs_regional_atm_intel' [17:21, 05:16](745 MB) +PASS -- TEST 'hafs_regional_atm_thompson_gfdlsf_intel' [17:38, 06:16](1110 MB) +PASS -- TEST 'hafs_regional_atm_ocn_intel' [18:30, 07:05](835 MB) +PASS -- TEST 'hafs_regional_atm_wav_intel' [25:21, 13:39](865 MB) +PASS -- TEST 'hafs_regional_atm_ocn_wav_intel' [06:36, 15:18](880 MB) +PASS -- TEST 'hafs_regional_1nest_atm_intel' [17:05, 05:42](505 MB) +PASS -- TEST 'hafs_regional_telescopic_2nests_atm_intel' [19:30, 06:51](526 MB) +PASS -- TEST 'hafs_global_1nest_atm_intel' [54:58, 02:42](374 MB) +PASS -- TEST 'hafs_global_multiple_4nests_atm_intel' [59:42, 07:24](484 MB) +PASS -- TEST 'hafs_regional_specified_moving_1nest_atm_intel' [58:07, 03:49](530 MB) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_intel' [01:58, 03:43](539 MB) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_intel' [03:06, 04:11](594 MB) +PASS -- TEST 'hafs_global_storm_following_1nest_atm_intel' [00:43, 01:11](406 MB) +PASS -- TEST 'gnv1_nested_intel' [06:39, 03:59](1754 MB) + +PASS -- COMPILE 'hafsw_debug_intel' [13:12, 04:33] ( 1467 warnings 1501 remarks ) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_debug_intel' [12:11, 12:39](576 MB) + +PASS -- COMPILE 'hafsw_faster_intel' [27:13, 20:27] ( 7 remarks ) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_intel' [10:11, 08:49](647 MB) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_inline_intel' [08:19, 08:46](747 MB) + +PASS -- COMPILE 'hafs_mom6w_intel' [21:13, 12:31] ( 7 remarks ) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_mom6_intel' [31:11, 06:29](731 MB) + +PASS -- COMPILE 'hafs_all_intel' [16:13, 11:32] ( 7 remarks ) +PASS -- TEST 'hafs_regional_docn_intel' [29:20, 06:35](830 MB) +PASS -- TEST 'hafs_regional_docn_oisst_intel' [29:23, 06:36](819 MB) +PASS -- TEST 'hafs_regional_datm_cdeps_intel' [15:05, 16:14](1216 MB) + +PASS -- COMPILE 'datm_cdeps_intel' [11:12, 06:49] +PASS -- TEST 'datm_cdeps_control_cfsr_intel' [01:27, 02:44](1155 MB) +PASS -- TEST 'datm_cdeps_restart_cfsr_intel' [12:22, 01:42](1110 MB) +PASS -- TEST 'datm_cdeps_control_gefs_intel' [56:24, 02:36](1031 MB) +PASS -- TEST 'datm_cdeps_iau_gefs_intel' [07:27, 02:41](1038 MB) +PASS -- TEST 'datm_cdeps_stochy_gefs_intel' [05:24, 02:39](1037 MB) +PASS -- TEST 'datm_cdeps_ciceC_cfsr_intel' [05:25, 02:43](1157 MB) +PASS -- TEST 'datm_cdeps_bulk_cfsr_intel' [05:23, 02:44](1167 MB) +PASS -- TEST 'datm_cdeps_bulk_gefs_intel' [04:26, 02:36](1022 MB) +PASS -- TEST 'datm_cdeps_mx025_cfsr_intel' [09:24, 06:12](1064 MB) +PASS -- TEST 'datm_cdeps_mx025_gefs_intel' [07:17, 06:04](1050 MB) +PASS -- TEST 'datm_cdeps_multiple_files_cfsr_intel' [57:22, 02:42](1154 MB) +PASS -- TEST 'datm_cdeps_3072x1536_cfsr_intel' [23:22, 03:50](2504 MB) +PASS -- TEST 'datm_cdeps_gfs_intel' [34:23, 03:53](2450 MB) + +PASS -- COMPILE 'datm_cdeps_debug_intel' [06:12, 03:22] ( 2 warnings ) +PASS -- TEST 'datm_cdeps_debug_cfsr_intel' [30:21, 06:21](1054 MB) + +PASS -- COMPILE 'datm_cdeps_faster_intel' [08:13, 06:44] +PASS -- TEST 'datm_cdeps_control_cfsr_faster_intel' [22:25, 02:41](1174 MB) + +PASS -- COMPILE 'datm_cdeps_land_intel' [02:12, 01:09] ( 1 remarks ) +PASS -- TEST 'datm_cdeps_lnd_gswp3_intel' [20:34, 00:48](264 MB) +PASS -- TEST 'datm_cdeps_lnd_era5_intel' [19:26, 00:50](321 MB) +PASS -- TEST 'datm_cdeps_lnd_era5_rst_intel' [16:28, 00:32](319 MB) + +PASS -- COMPILE 'atm_ds2s_docn_pcice_intel' [13:13, 11:26] ( 1 remarks ) +PASS -- TEST 'atm_ds2s_docn_pcice_intel' [23:05, 03:42](1983 MB) + +PASS -- COMPILE 'atm_ds2s_docn_dice_intel' [12:12, 11:04] ( 1 remarks ) +PASS -- TEST 'atm_ds2s_docn_dice_intel' [24:02, 03:39](1947 MB) + +PASS -- COMPILE 'atml_intel' [14:13, 12:32] ( 8 warnings 2 remarks ) +PASS -- TEST 'control_p8_atmlnd_sbs_intel' [23:02, 04:20](1859 MB) +PASS -- TEST 'control_p8_atmlnd_intel' [24:55, 04:23](1866 MB) +PASS -- TEST 'control_restart_p8_atmlnd_intel' [10:52, 02:24](1104 MB) + +PASS -- COMPILE 'atml_debug_intel' [07:12, 05:00] ( 882 warnings 2 remarks ) +PASS -- TEST 'control_p8_atmlnd_debug_intel' [25:58, 05:40](1886 MB) + +PASS -- COMPILE 'atmw_intel' [13:12, 11:40] ( 8 remarks ) +PASS -- TEST 'atmwav_control_noaero_p8_intel' [18:48, 01:52](1929 MB) + +PASS -- COMPILE 'atmaero_intel' [12:12, 11:09] ( 1 remarks ) +PASS -- TEST 'atmaero_control_p8_intel' [22:00, 04:12](3200 MB) +PASS -- TEST 'atmaero_control_p8_rad_intel' [12:54, 04:53](3101 MB) +PASS -- TEST 'atmaero_control_p8_rad_micro_intel' [16:47, 04:57](3113 MB) + +PASS -- COMPILE 'atmaq_intel' [12:12, 10:36] +PASS -- TEST 'regional_atmaq_v16_intel' [32:42, 19:38](3309 MB) + +PASS -- COMPILE 'atmaq_debug_intel' [05:11, 04:03] ( 856 warnings ) +PASS -- TEST 'regional_atmaq_v16_debug_intel' [57:38, 42:51](2129 MB) + +PASS -- COMPILE 'atm_gnu' [06:11, 04:41] +PASS -- TEST 'control_c48_gnu' [14:50, 11:23](1538 MB) +PASS -- TEST 'control_stochy_gnu' [13:26, 03:26](501 MB) +PASS -- TEST 'control_ras_gnu' [18:24, 04:43](507 MB) +PASS -- TEST 'control_p8_gnu' [19:00, 04:46](1458 MB) +PASS -- TEST 'control_p8_ugwpv1_gnu' [18:53, 04:35](1463 MB) +PASS -- TEST 'control_flake_gnu' [23:37, 10:13](545 MB) + +PASS -- COMPILE 'rrfs_gnu' [06:12, 04:31] +PASS -- TEST 'rap_control_gnu' [24:34, 10:46](818 MB) +PASS -- TEST 'rap_decomp_gnu' [24:32, 10:47](852 MB) +PASS -- TEST 'rap_2threads_gnu' [23:44, 09:48](936 MB) +PASS -- TEST 'rap_restart_gnu' [24:48, 05:31](582 MB) +PASS -- TEST 'rap_sfcdiff_gnu' [24:39, 10:53](815 MB) +PASS -- TEST 'rap_sfcdiff_decomp_gnu' [24:33, 10:57](860 MB) +PASS -- TEST 'rap_sfcdiff_restart_gnu' [26:45, 07:57](582 MB) +PASS -- TEST 'hrrr_control_gnu' [18:38, 05:36](850 MB) +PASS -- TEST 'hrrr_control_noqr_gnu' [17:33, 05:32](803 MB) +PASS -- TEST 'hrrr_control_2threads_gnu' [19:38, 05:04](924 MB) +PASS -- TEST 'hrrr_control_decomp_gnu' [19:35, 05:34](851 MB) +PASS -- TEST 'hrrr_control_restart_gnu' [26:37, 02:54](566 MB) +PASS -- TEST 'hrrr_control_restart_noqr_gnu' [26:34, 02:50](660 MB) +PASS -- TEST 'rrfs_v1beta_gnu' [23:43, 10:29](845 MB) + +PASS -- COMPILE 'atm_dyn32_debug_gnu' [11:13, 08:03] +PASS -- TEST 'control_diag_debug_gnu' [13:52, 01:38](1275 MB) +PASS -- TEST 'regional_debug_gnu' [22:41, 09:58](748 MB) +PASS -- TEST 'rap_control_debug_gnu' [14:26, 02:41](824 MB) +PASS -- TEST 'hrrr_control_debug_gnu' [14:24, 02:38](825 MB) +PASS -- TEST 'hrrr_gf_debug_gnu' [12:25, 02:39](829 MB) +PASS -- TEST 'hrrr_c3_debug_gnu' [12:24, 02:41](831 MB) +PASS -- TEST 'rap_diag_debug_gnu' [13:36, 02:49](906 MB) +PASS -- TEST 'rap_noah_sfcdiff_cires_ugwp_debug_gnu' [10:25, 04:13](821 MB) +PASS -- TEST 'rap_progcld_thompson_debug_gnu' [08:24, 02:40](823 MB) +PASS -- TEST 'rrfs_v1beta_debug_gnu' [08:28, 02:37](820 MB) +PASS -- TEST 'control_ras_debug_gnu' [06:22, 01:34](457 MB) +PASS -- TEST 'control_stochy_debug_gnu' [05:23, 01:45](451 MB) +PASS -- TEST 'control_debug_p8_gnu' [03:45, 01:45](1436 MB) +PASS -- TEST 'rap_flake_debug_gnu' [04:26, 02:36](826 MB) +PASS -- TEST 'rap_clm_lake_debug_gnu' [04:25, 02:54](819 MB) +PASS -- TEST 'gnv1_c96_no_nest_debug_gnu' [05:41, 04:19](834 MB) + +PASS -- COMPILE 'wam_debug_gnu' [09:13, 02:24] +PASS -- TEST 'control_wam_debug_gnu' [27:26, 02:34](195 MB) + +PASS -- COMPILE 'rrfs_dyn32_phy32_gnu' [14:12, 04:35] +PASS -- TEST 'rap_control_dyn32_phy32_gnu' [33:40, 09:24](708 MB) +PASS -- TEST 'hrrr_control_dyn32_phy32_gnu' [29:35, 04:57](713 MB) +PASS -- TEST 'rap_2threads_dyn32_phy32_gnu' [32:43, 08:33](758 MB) +PASS -- TEST 'hrrr_control_2threads_dyn32_phy32_gnu' [28:40, 04:35](745 MB) +PASS -- TEST 'hrrr_control_decomp_dyn32_phy32_gnu' [28:36, 05:02](708 MB) +PASS -- TEST 'rap_restart_dyn32_phy32_gnu' [08:37, 07:05](553 MB) +PASS -- TEST 'hrrr_control_restart_dyn32_phy32_gnu' [04:29, 02:30](538 MB) +PASS -- TEST 'conus13km_control_gnu' [27:02, 03:10](877 MB) +PASS -- TEST 'conus13km_2threads_gnu' [07:49, 05:45](879 MB) +PASS -- TEST 'conus13km_restart_mismatch_gnu' [03:48, 01:50](560 MB) + +PASS -- COMPILE 'atm_dyn64_phy32_gnu' [26:13, 11:12] +PASS -- TEST 'rap_control_dyn64_phy32_gnu' [29:44, 05:46](728 MB) + +PASS -- COMPILE 'atm_dyn32_phy32_debug_gnu' [28:14, 07:54] +PASS -- TEST 'rap_control_debug_dyn32_phy32_gnu' [25:32, 02:35](723 MB) +PASS -- TEST 'hrrr_control_debug_dyn32_phy32_gnu' [25:26, 02:33](718 MB) +PASS -- TEST 'conus13km_debug_gnu' [29:50, 07:01](896 MB) +PASS -- TEST 'conus13km_debug_qr_gnu' [28:51, 07:05](581 MB) +PASS -- TEST 'conus13km_debug_2threads_gnu' [28:49, 07:50](900 MB) +PASS -- TEST 'conus13km_radar_tten_debug_gnu' [28:51, 07:09](964 MB) + +PASS -- COMPILE 'atm_dyn64_phy32_debug_gnu' [28:12, 07:41] +PASS -- TEST 'rap_control_dyn64_phy32_debug_gnu' [23:32, 02:38](739 MB) + +PASS -- COMPILE 's2swa_gnu' [15:18, 16:39] + +PASS -- COMPILE 's2s_gnu' [17:17, 16:16] +PASS -- TEST 'cpld_control_nowave_noaero_p8_gnu' [28:30, 07:25](1522 MB) + +PASS -- COMPILE 's2swa_debug_gnu' [04:16, 03:36] + +PASS -- COMPILE 's2sw_pdlib_gnu' [07:23, 16:34] +PASS -- TEST 'cpld_control_pdlib_p8_gnu' [40:07, 19:25](1459 MB) + +PASS -- COMPILE 's2sw_pdlib_debug_gnu' [52:24, 03:22] +PASS -- TEST 'cpld_debug_pdlib_p8_gnu' [37:58, 17:30](1469 MB) + +PASS -- COMPILE 'datm_cdeps_gnu' [04:25, 15:27] +PASS -- TEST 'datm_cdeps_control_cfsr_gnu' [22:23, 03:00](686 MB) SYNOPSIS: -Starting Date/Time: 20240610 01:33:45 -Ending Date/Time: 20240610 03:06:37 -Total Time: 01h:33m:13s -Compiles Completed: 57/57 -Tests Completed: 246/246 +Starting Date/Time: 20240613 03:14:16 +Ending Date/Time: 20240613 07:40:28 +Total Time: 04h:26m:29s +Compiles Completed: 58/58 +Tests Completed: 247/247 NOTES: A file 'test_changes.list' was generated but is empty. diff --git a/tests/logs/RegressionTests_wcoss2.log b/tests/logs/RegressionTests_wcoss2.log index f48b1e6bad..c780527a46 100644 --- a/tests/logs/RegressionTests_wcoss2.log +++ b/tests/logs/RegressionTests_wcoss2.log @@ -1,18 +1,18 @@ ====START OF WCOSS2 REGRESSION TESTING LOG==== UFSWM hash used in testing: -ecba684819faf0cfd392cdd49bf6369463e726f7 +7574690c9876ade572d0590ac8d3dd9624f0bddc Submodule hashes used in testing: - 37cbb7d6840ae7515a9a8f0dfd4d89461b3396d1 AQM (v0.2.0-37-g37cbb7d) + b79f95f7de95b431feb74400aebb3a57e992c759 AQM (v0.2.0-40-gb79f95f) be5d28fd1b60522e6fc98aefeead20e6aac3530b AQM/src/model/CMAQ (CMAQv5.2.1_07Feb2018-198-gbe5d28fd1) - 42d4010d7d1116aa8c4ac322dd353cfbb3e3aaa1 CDEPS-interface/CDEPS (cdeps0.4.17-51-g42d4010) - 180f60fd5c9f76d5656a68f8d478f0155f0dc695 CICE-interface/CICE (remotes/origin/HEAD-11-g180f60f) + fbdf6843d6bde852d97f1547591d90136103f669 CDEPS-interface/CDEPS (cdeps0.4.17-41-gfbdf684) + d406c7e205bd62913eea0bc87bed1aeb63eb2f83 CICE-interface/CICE (CICE6.0.0-449-gd406c7e) f6ff8f7c4d4cb6feabe3651b13204cf43fc948e3 CICE-interface/CICE/icepack (Icepack1.1.0-182-gf6ff8f7) 2d837b16af326b09ff4018daab4de84f4deff7ec CMEPS-interface/CMEPS (cmeps_v0.4.1-2307-g2d837b1) cabd7753ae17f7bfcc6dad56daf10868aa51c3f4 CMakeModules (v1.0.0-28-gcabd775) - 07c26d1efae47445e4c36ff98942494788e7dc49 FV3 (07c26d1) - ab195d5026ca4c221b6cbb3888c8ae92d711f89a FV3/atmos_cubed_sphere (remotes/origin/cs_perts-1-gab195d5) + 07c26d1efae47445e4c36ff98942494788e7dc49 FV3 (remotes/origin/HEAD) + ab195d5026ca4c221b6cbb3888c8ae92d711f89a FV3/atmos_cubed_sphere (201912_public_release-397-gab195d5) ccfefcd0b426e011f94137031d5f7c2a4dda2659 FV3/ccpp/framework (ccpp_transition_to_vlab_master_20190705-750-gccfefcd) 16a1d881774d795f46db16017aeed7fc351d661a FV3/ccpp/physics (EP4-808-g16a1d881) 74a0e098b2163425e4b5466c2dfcf8ae26d560a5 FV3/ccpp/physics/physics/Radiation/RRTMGP/rte-rrtmgp (v1.6) @@ -35,241 +35,244 @@ The first time is for the full script (prep+run+finalize). The second time is specifically for the run phase. Times/Memory will be empty for failed tests. -BASELINE DIRECTORY: /lfs/h2/emc/nems/noscrub/emc.nems/RT/NEMSfv3gfs/develop-20240607 -COMPARISON DIRECTORY: /lfs/h2/emc/ptmp/brian.curtis/FV3_RT/rt_136387 +BASELINE DIRECTORY: /lfs/h2/emc/nems/noscrub/emc.nems/RT/NEMSfv3gfs/develop-20240612 +COMPARISON DIRECTORY: /lfs/h2/emc/ptmp/brian.curtis/FV3_RT/rt_149196 RT.SH OPTIONS USED: * (-a) - HPC PROJECT ACCOUNT: GFS-DEV * (-e) - USE ECFLOW -PASS -- COMPILE 's2swa_32bit_intel' [12:26, 11:32] ( 1 warnings 8 remarks ) -PASS -- TEST 'cpld_control_p8_mixedmode_intel' [43:28, 02:09](3104 MB) - -PASS -- COMPILE 's2swa_32bit_pdlib_intel' [12:26, 12:02] ( 1 warnings 8 remarks ) -PASS -- TEST 'cpld_control_gfsv17_intel' [43:28, 01:33](1824 MB) -PASS -- TEST 'cpld_control_gfsv17_iau_intel' [25:12, 02:06](1848 MB) -PASS -- TEST 'cpld_restart_gfsv17_intel' [25:12, 01:40](970 MB) -PASS -- TEST 'cpld_mpi_gfsv17_intel' [43:29, 01:46](1794 MB) - -PASS -- COMPILE 's2swa_32bit_pdlib_sfs_intel' [23:39, 23:26] ( 1 warnings 8 remarks ) -PASS -- TEST 'cpld_control_sfs_intel' [32:16, 00:23](1817 MB) - -PASS -- COMPILE 's2swa_32bit_pdlib_debug_intel' [17:32, 16:59] ( 1505 warnings 1998 remarks ) -PASS -- TEST 'cpld_debug_gfsv17_intel' [38:25, 01:49](1836 MB) - -PASS -- COMPILE 's2swa_intel' [12:26, 11:30] ( 8 remarks ) -PASS -- TEST 'cpld_control_p8_intel' [43:28, 01:05](3127 MB) -PASS -- TEST 'cpld_control_p8.v2.sfc_intel' [43:28, 02:01](3124 MB) -PASS -- TEST 'cpld_restart_p8_intel' [34:57, 01:23](3061 MB) -PASS -- TEST 'cpld_control_qr_p8_intel' [43:28, 01:55](3147 MB) -PASS -- TEST 'cpld_restart_qr_p8_intel' [33:58, 01:50](3075 MB) -PASS -- TEST 'cpld_2threads_p8_intel' [43:28, 01:14](3355 MB) -PASS -- TEST 'cpld_decomp_p8_intel' [43:28, 00:58](3118 MB) -PASS -- TEST 'cpld_mpi_p8_intel' [43:29, 01:42](3071 MB) -PASS -- TEST 'cpld_control_ciceC_p8_intel' [43:28, 02:10](3128 MB) -PASS -- TEST 'cpld_bmark_p8_intel' [43:37, 04:21](4103 MB) -PASS -- TEST 'cpld_restart_bmark_p8_intel' [11:31, 04:11](4247 MB) -PASS -- TEST 'cpld_s2sa_p8_intel' [43:28, 01:19](3107 MB) - -PASS -- COMPILE 's2sw_intel' [24:40, 23:44] ( 8 remarks ) -PASS -- TEST 'cpld_control_noaero_p8_intel' [31:15, 01:43](1832 MB) -PASS -- TEST 'cpld_control_nowave_noaero_p8_intel' [31:15, 01:44](1891 MB) - -PASS -- COMPILE 's2s_aoflux_intel' [11:26, 10:41] ( 1 remarks ) -PASS -- TEST 'cpld_control_noaero_p8_agrid_intel' [44:30, 01:39](1898 MB) - -PASS -- COMPILE 's2s_intel' [12:26, 11:50] ( 1 remarks ) -PASS -- TEST 'cpld_control_c48_intel' [43:27, 01:36](2913 MB) -PASS -- TEST 'cpld_warmstart_c48_intel' [43:27, 01:05](2911 MB) -PASS -- TEST 'cpld_restart_c48_intel' [39:05, 01:05](2303 MB) - -PASS -- COMPILE 's2swa_faster_intel' [29:45, 29:25] ( 8 remarks ) -PASS -- TEST 'cpld_control_p8_faster_intel' [26:10, 02:03](3129 MB) - -PASS -- COMPILE 's2sw_pdlib_intel' [38:57, 37:58] ( 8 remarks ) -PASS -- TEST 'cpld_control_pdlib_p8_intel' [16:59, 01:03](1833 MB) -PASS -- TEST 'cpld_restart_pdlib_p8_intel' [55:16, 01:27](1007 MB) -PASS -- TEST 'cpld_mpi_pdlib_p8_intel' [55:13, 01:26](1805 MB) - -PASS -- COMPILE 's2sw_pdlib_debug_intel' [10:24, 09:09] ( 1541 warnings 1998 remarks ) -PASS -- TEST 'cpld_debug_pdlib_p8_intel' [34:07, 01:43](1853 MB) - -PASS -- COMPILE 'atm_dyn32_intel' [26:44, 25:56] ( 1 warnings 1 remarks ) -PASS -- TEST 'control_flake_intel' [16:43, 00:30](574 MB) -PASS -- TEST 'control_CubedSphereGrid_intel' [16:43, 01:29](1467 MB) -PASS -- TEST 'control_CubedSphereGrid_parallel_intel' [16:43, 01:29](1482 MB) -PASS -- TEST 'control_latlon_intel' [16:43, 01:16](1480 MB) -PASS -- TEST 'control_wrtGauss_netcdf_parallel_intel' [16:43, 00:30](1470 MB) -PASS -- TEST 'control_c48_intel' [16:42, 01:22](1596 MB) -PASS -- TEST 'control_c48.v2.sfc_intel' [16:42, 00:57](716 MB) -PASS -- TEST 'control_c192_intel' [16:43, 01:22](1593 MB) -PASS -- TEST 'control_c384_intel' [16:47, 01:16](1911 MB) -PASS -- TEST 'control_c384gdas_intel' [16:47, 02:26](1091 MB) -PASS -- TEST 'control_stochy_intel' [16:43, 00:29](532 MB) -PASS -- TEST 'control_stochy_restart_intel' [10:09, 00:50](334 MB) -PASS -- TEST 'control_lndp_intel' [16:43, 00:28](527 MB) -PASS -- TEST 'control_iovr4_intel' [16:43, 00:41](525 MB) -PASS -- TEST 'control_iovr5_intel' [16:43, 00:41](528 MB) -PASS -- TEST 'control_p8_intel' [16:43, 01:52](1773 MB) -PASS -- TEST 'control_p8.v2.sfc_intel' [16:43, 01:48](1765 MB) -PASS -- TEST 'control_p8_ugwpv1_intel' [16:43, 01:56](1776 MB) -PASS -- TEST 'control_restart_p8_intel' [07:11, 02:00](919 MB) -PASS -- TEST 'control_noqr_p8_intel' [16:43, 01:43](1769 MB) -PASS -- TEST 'control_restart_noqr_p8_intel' [07:11, 02:00](923 MB) -PASS -- TEST 'control_decomp_p8_intel' [16:32, 01:27](1770 MB) -PASS -- TEST 'control_2threads_p8_intel' [16:19, 01:08](1857 MB) -PASS -- TEST 'control_p8_lndp_intel' [13:51, 00:42](1770 MB) -PASS -- TEST 'control_p8_rrtmgp_intel' [13:05, 01:16](1830 MB) -PASS -- TEST 'control_p8_mynn_intel' [12:24, 01:43](1783 MB) -PASS -- TEST 'merra2_thompson_intel' [11:13, 01:44](1772 MB) -PASS -- TEST 'regional_control_intel' [10:09, 01:00](854 MB) -PASS -- TEST 'regional_restart_intel' [03:22, 01:11](852 MB) -PASS -- TEST 'regional_decomp_intel' [09:05, 00:43](859 MB) -PASS -- TEST 'regional_2threads_intel' [09:05, 00:48](912 MB) -PASS -- TEST 'regional_noquilt_intel' [08:35, 01:11](1179 MB) -PASS -- TEST 'regional_netcdf_parallel_intel' [08:05, 01:10](852 MB) -PASS -- TEST 'regional_2dwrtdecomp_intel' [07:55, 00:56](860 MB) -PASS -- TEST 'regional_wofs_intel' [07:50, 00:33](1585 MB) - -PASS -- COMPILE 'rrfs_intel' [12:26, 11:26] ( 3 warnings 92 remarks ) -PASS -- TEST 'rap_control_intel' [31:01, 01:36](917 MB) -PASS -- TEST 'regional_spp_sppt_shum_skeb_intel' [31:02, 01:28](1098 MB) -PASS -- TEST 'rap_decomp_intel' [31:01, 01:29](918 MB) -PASS -- TEST 'rap_2threads_intel' [31:01, 02:02](1008 MB) -PASS -- TEST 'rap_restart_intel' [17:50, 01:15](787 MB) -PASS -- TEST 'rap_sfcdiff_intel' [31:01, 02:17](916 MB) -PASS -- TEST 'rap_sfcdiff_decomp_intel' [31:01, 02:03](914 MB) -PASS -- TEST 'rap_sfcdiff_restart_intel' [17:17, 01:50](786 MB) -PASS -- TEST 'hrrr_control_intel' [31:01, 01:30](909 MB) -PASS -- TEST 'hrrr_control_decomp_intel' [31:01, 01:47](908 MB) -PASS -- TEST 'hrrr_control_2threads_intel' [31:02, 01:40](996 MB) -PASS -- TEST 'hrrr_control_restart_intel' [21:24, 00:46](743 MB) -PASS -- TEST 'rrfs_v1beta_intel' [31:01, 01:29](912 MB) -PASS -- TEST 'rrfs_v1nssl_intel' [31:01, 01:03](1876 MB) -PASS -- TEST 'rrfs_v1nssl_nohailnoccn_intel' [31:01, 01:13](1864 MB) - -PASS -- COMPILE 'csawmg_intel' [22:40, 22:17] -PASS -- TEST 'control_csawmg_intel' [20:47, 00:23](870 MB) -PASS -- TEST 'control_ras_intel' [20:47, 00:49](563 MB) - -PASS -- COMPILE 'wam_intel' [22:39, 21:26] -PASS -- TEST 'control_wam_intel' [20:49, 00:47](535 MB) - -PASS -- COMPILE 'atm_faster_dyn32_intel' [22:40, 18:40] ( 1 remarks ) -PASS -- TEST 'control_p8_faster_intel' [07:47, 02:04](1767 MB) -PASS -- TEST 'regional_control_faster_intel' [07:43, 00:14](850 MB) - -PASS -- COMPILE 'atm_debug_dyn32_intel' [17:36, 14:47] ( 869 warnings 92 remarks ) -PASS -- TEST 'control_CubedSphereGrid_debug_intel' [07:42, 01:23](1491 MB) -PASS -- TEST 'control_wrtGauss_netcdf_parallel_debug_intel' [07:36, 01:29](1483 MB) -PASS -- TEST 'control_stochy_debug_intel' [07:13, 00:46](694 MB) -PASS -- TEST 'control_lndp_debug_intel' [07:02, 01:09](690 MB) -PASS -- TEST 'control_csawmg_debug_intel' [07:01, 00:29](1000 MB) -PASS -- TEST 'control_ras_debug_intel' [05:55, 00:59](700 MB) -PASS -- TEST 'control_diag_debug_intel' [05:45, 01:08](1547 MB) -PASS -- TEST 'control_debug_p8_intel' [04:56, 01:18](1784 MB) -PASS -- TEST 'regional_debug_intel' [04:33, 01:04](886 MB) -PASS -- TEST 'rap_control_debug_intel' [04:34, 01:15](1077 MB) -PASS -- TEST 'hrrr_control_debug_intel' [04:32, 00:19](1072 MB) -PASS -- TEST 'hrrr_gf_debug_intel' [04:31, 01:17](1074 MB) -PASS -- TEST 'hrrr_c3_debug_intel' [04:13, 00:27](1074 MB) -PASS -- TEST 'rap_unified_drag_suite_debug_intel' [03:53, 00:46](1071 MB) -PASS -- TEST 'rap_diag_debug_intel' [02:49, 00:48](1154 MB) -PASS -- TEST 'rap_cires_ugwp_debug_intel' [02:42, 00:41](1074 MB) -PASS -- TEST 'rap_unified_ugwp_debug_intel' [02:42, 00:41](1076 MB) -PASS -- TEST 'rap_lndp_debug_intel' [02:42, 00:46](1076 MB) -PASS -- TEST 'rap_progcld_thompson_debug_intel' [02:42, 00:49](1076 MB) -PASS -- TEST 'rap_noah_debug_intel' [02:33, 00:53](1073 MB) -PASS -- TEST 'rap_sfcdiff_debug_intel' [02:13, 00:48](1074 MB) -PASS -- TEST 'rap_noah_sfcdiff_cires_ugwp_debug_intel' [02:13, 00:47](1071 MB) -PASS -- TEST 'rrfs_v1beta_debug_intel' [02:10, 00:52](1069 MB) -PASS -- TEST 'rap_clm_lake_debug_intel' [02:06, 00:54](1083 MB) -PASS -- TEST 'rap_flake_debug_intel' [01:47, 00:47](1078 MB) -PASS -- TEST 'gnv1_c96_no_nest_debug_intel' [01:28, 02:08](1077 MB) - -PASS -- COMPILE 'wam_debug_intel' [20:38, 14:45] ( 842 warnings ) -PASS -- TEST 'control_wam_debug_intel' [01:21, 00:53](302 MB) - -PASS -- COMPILE 'rrfs_dyn32_phy32_intel' [18:36, 13:47] ( 3 warnings 91 remarks ) -PASS -- TEST 'regional_spp_sppt_shum_skeb_dyn32_phy32_intel' [01:20, 01:15](953 MB) -PASS -- TEST 'rap_control_dyn32_phy32_intel' [01:13, 02:08](791 MB) -PASS -- TEST 'hrrr_control_dyn32_phy32_intel' [00:31, 01:58](789 MB) -PASS -- TEST 'rap_2threads_dyn32_phy32_intel' [00:11, 01:29](855 MB) -PASS -- TEST 'hrrr_control_2threads_dyn32_phy32_intel' [00:12, 01:58](848 MB) -PASS -- TEST 'hrrr_control_decomp_dyn32_phy32_intel' [00:02, 01:28](785 MB) -PASS -- TEST 'rap_restart_dyn32_phy32_intel' [52:50, 01:25](690 MB) -PASS -- TEST 'hrrr_control_restart_dyn32_phy32_intel' [54:44, 00:16](671 MB) - -PASS -- COMPILE 'rrfs_dyn32_phy32_faster_intel' [20:38, 16:06] ( 3 warnings 91 remarks ) -PASS -- TEST 'conus13km_control_intel' [59:46, 01:24](1005 MB) -PASS -- TEST 'conus13km_2threads_intel' [53:49, 00:52](1009 MB) -PASS -- TEST 'conus13km_restart_mismatch_intel' [52:50, 00:42](885 MB) - -PASS -- COMPILE 'rrfs_dyn64_phy32_intel' [31:48, 30:54] ( 3 warnings 91 remarks ) -PASS -- TEST 'rap_control_dyn64_phy32_intel' [53:58, 01:23](813 MB) - -PASS -- COMPILE 'rrfs_dyn32_phy32_debug_intel' [25:42, 24:35] ( 773 warnings 91 remarks ) -PASS -- TEST 'rap_control_debug_dyn32_phy32_intel' [54:48, 00:53](949 MB) -PASS -- TEST 'hrrr_control_debug_dyn32_phy32_intel' [54:44, 01:01](945 MB) -PASS -- TEST 'conus13km_debug_intel' [54:44, 01:07](1039 MB) -PASS -- TEST 'conus13km_debug_qr_intel' [54:35, 00:56](718 MB) -PASS -- TEST 'conus13km_debug_2threads_intel' [52:36, 00:23](1040 MB) -PASS -- TEST 'conus13km_radar_tten_debug_intel' [52:14, 01:12](1111 MB) - -PASS -- COMPILE 'rrfs_dyn64_phy32_debug_intel' [08:22, 07:41] ( 773 warnings 91 remarks ) -PASS -- TEST 'rap_control_dyn64_phy32_debug_intel' [59:15, 01:17](977 MB) - -PASS -- COMPILE 'hafsw_intel' [20:36, 15:57] ( 1 warnings 8 remarks ) -PASS -- TEST 'hafs_regional_atm_intel' [56:25, 01:46](618 MB) -PASS -- TEST 'hafs_regional_atm_thompson_gfdlsf_intel' [56:25, 01:22](968 MB) -PASS -- TEST 'hafs_regional_atm_ocn_intel' [56:23, 01:31](660 MB) -PASS -- TEST 'hafs_regional_atm_wav_intel' [56:23, 02:10](697 MB) -PASS -- TEST 'hafs_regional_atm_ocn_wav_intel' [56:11, 02:02](715 MB) -PASS -- TEST 'hafs_regional_1nest_atm_intel' [56:10, 01:02](392 MB) -PASS -- TEST 'hafs_regional_telescopic_2nests_atm_intel' [56:11, 01:41](408 MB) -PASS -- TEST 'hafs_global_1nest_atm_intel' [56:11, 01:29](298 MB) -PASS -- TEST 'hafs_global_multiple_4nests_atm_intel' [56:07, 02:35](373 MB) -PASS -- TEST 'hafs_regional_specified_moving_1nest_atm_intel' [56:03, 01:27](418 MB) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_intel' [55:44, 01:40](419 MB) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_intel' [55:43, 01:33](487 MB) -PASS -- TEST 'hafs_global_storm_following_1nest_atm_intel' [55:21, 01:19](328 MB) - -PASS -- COMPILE 'hafsw_debug_intel' [12:26, 07:56] ( 1449 warnings 1501 remarks ) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_debug_intel' [58:43, 01:43](502 MB) - -PASS -- COMPILE 'hafsw_faster_intel' [31:55, 27:28] ( 7 remarks ) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_intel' [44:36, 01:44](533 MB) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_inline_intel' [44:36, 01:23](708 MB) - -PASS -- COMPILE 'hafs_mom6w_intel' [17:33, 13:20] ( 7 remarks ) -PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_mom6_intel' [58:03, 01:06](716 MB) - -PASS -- COMPILE 'hafs_all_intel' [30:49, 27:51] ( 7 remarks ) -PASS -- TEST 'hafs_regional_docn_intel' [41:50, 01:59](662 MB) -PASS -- TEST 'hafs_regional_docn_oisst_intel' [41:50, 01:49](642 MB) -PASS -- TEST 'hafs_regional_datm_cdeps_intel' [41:48, 00:22](882 MB) - -PASS -- COMPILE 'atm_ds2s_docn_pcice_intel' [18:37, 15:13] ( 1 remarks ) -PASS -- TEST 'atm_ds2s_docn_pcice_intel' [51:42, 01:42](1823 MB) - -PASS -- COMPILE 'atml_intel' [28:45, 26:34] ( 8 warnings 2 remarks ) - -PASS -- COMPILE 'atml_debug_intel' [13:31, 12:34] ( 868 warnings 2 remarks ) - -PASS -- COMPILE 'atmaero_intel' [13:30, 12:30] ( 1 remarks ) -PASS -- TEST 'atmaero_control_p8_intel' [50:43, 01:22](3027 MB) -PASS -- TEST 'atmaero_control_p8_rad_intel' [50:09, 01:44](2908 MB) -PASS -- TEST 'atmaero_control_p8_rad_micro_intel' [50:01, 01:45](2919 MB) - -PASS -- COMPILE 'atmaq_debug_intel' [07:20, 04:58] ( 870 warnings 6 remarks ) -PASS -- TEST 'regional_atmaq_debug_intel' [50:49, 00:48](4435 MB) +PASS -- COMPILE 's2swa_32bit_intel' [24:43, 22:59] ( 1 warnings 8 remarks ) +PASS -- TEST 'cpld_control_p8_mixedmode_intel' [31:08, 01:56](3104 MB) + +PASS -- COMPILE 's2swa_32bit_pdlib_intel' [29:47, 28:04] ( 1 warnings 8 remarks ) +PASS -- TEST 'cpld_control_gfsv17_intel' [26:04, 02:26](1818 MB) +PASS -- TEST 'cpld_control_gfsv17_iau_intel' [04:53, 02:10](1855 MB) +PASS -- TEST 'cpld_restart_gfsv17_intel' [04:48, 01:48](987 MB) +PASS -- TEST 'cpld_mpi_gfsv17_intel' [26:05, 01:54](1795 MB) + +PASS -- COMPILE 's2swa_32bit_pdlib_sfs_intel' [13:31, 12:07] ( 1 warnings 8 remarks ) +PASS -- TEST 'cpld_control_sfs_intel' [42:18, 00:44](1821 MB) + +PASS -- COMPILE 's2swa_32bit_pdlib_debug_intel' [24:43, 22:41] ( 1505 warnings 1998 remarks ) +PASS -- TEST 'cpld_debug_gfsv17_intel' [31:09, 02:16](1832 MB) + +PASS -- COMPILE 's2swa_intel' [13:31, 11:19] ( 8 remarks ) +PASS -- TEST 'cpld_control_p8_intel' [42:19, 01:30](3129 MB) +PASS -- TEST 'cpld_control_p8.v2.sfc_intel' [42:19, 01:44](3126 MB) +PASS -- TEST 'cpld_restart_p8_intel' [33:51, 01:39](3058 MB) +PASS -- TEST 'cpld_control_qr_p8_intel' [42:19, 01:26](3146 MB) +PASS -- TEST 'cpld_restart_qr_p8_intel' [32:59, 01:21](3080 MB) +PASS -- TEST 'cpld_2threads_p8_intel' [42:19, 01:53](3357 MB) +PASS -- TEST 'cpld_decomp_p8_intel' [42:19, 01:03](3120 MB) +PASS -- TEST 'cpld_mpi_p8_intel' [42:20, 01:40](3068 MB) +PASS -- TEST 'cpld_control_ciceC_p8_intel' [42:19, 01:24](3126 MB) +PASS -- TEST 'cpld_bmark_p8_intel' [42:28, 04:43](4103 MB) +PASS -- TEST 'cpld_restart_bmark_p8_intel' [21:11, 04:19](4246 MB) +PASS -- TEST 'cpld_s2sa_p8_intel' [42:19, 01:46](3105 MB) + +PASS -- COMPILE 's2sw_intel' [13:31, 11:26] ( 8 remarks ) +PASS -- TEST 'cpld_control_noaero_p8_intel' [42:19, 01:11](1827 MB) +PASS -- TEST 'cpld_control_nowave_noaero_p8_intel' [42:19, 01:51](1892 MB) + +PASS -- COMPILE 's2s_aoflux_intel' [12:28, 10:23] ( 1 remarks ) +PASS -- TEST 'cpld_control_noaero_p8_agrid_intel' [43:22, 01:57](1888 MB) + +PASS -- COMPILE 's2s_intel' [32:52, 31:21] ( 1 remarks ) +PASS -- TEST 'cpld_control_c48_intel' [21:30, 00:37](2905 MB) +PASS -- TEST 'cpld_warmstart_c48_intel' [20:10, 00:51](2903 MB) +PASS -- TEST 'cpld_restart_c48_intel' [14:58, 00:50](2311 MB) + +PASS -- COMPILE 's2swa_faster_intel' [20:38, 18:36] ( 8 remarks ) +PASS -- TEST 'cpld_control_p8_faster_intel' [35:12, 01:19](3129 MB) + +PASS -- COMPILE 's2sw_pdlib_intel' [23:40, 21:58] ( 8 remarks ) +PASS -- TEST 'cpld_control_pdlib_p8_intel' [32:09, 01:49](1833 MB) +PASS -- TEST 'cpld_restart_pdlib_p8_intel' [12:36, 01:03](1008 MB) +PASS -- TEST 'cpld_mpi_pdlib_p8_intel' [12:31, 01:11](1810 MB) + +PASS -- COMPILE 's2sw_pdlib_debug_intel' [05:19, 04:32] ( 1541 warnings 1998 remarks ) +PASS -- TEST 'cpld_debug_pdlib_p8_intel' [38:03, 01:06](1849 MB) + +PASS -- COMPILE 'atm_dyn32_intel' [17:33, 16:52] ( 1 warnings 1 remarks ) +PASS -- TEST 'control_flake_intel' [24:45, 00:27](574 MB) +PASS -- TEST 'control_CubedSphereGrid_intel' [24:45, 00:56](1473 MB) +PASS -- TEST 'control_CubedSphereGrid_parallel_intel' [24:45, 00:45](1486 MB) +PASS -- TEST 'control_latlon_intel' [24:45, 00:51](1471 MB) +PASS -- TEST 'control_wrtGauss_netcdf_parallel_intel' [24:45, 00:48](1469 MB) +PASS -- TEST 'control_c48_intel' [24:44, 00:34](1594 MB) +PASS -- TEST 'control_c48.v2.sfc_intel' [24:44, 01:10](718 MB) +PASS -- TEST 'control_c192_intel' [24:45, 00:32](1586 MB) +PASS -- TEST 'control_c384_intel' [24:49, 01:43](1909 MB) +PASS -- TEST 'control_c384gdas_intel' [24:49, 02:45](1090 MB) +PASS -- TEST 'control_stochy_intel' [24:45, 00:33](532 MB) +PASS -- TEST 'control_stochy_restart_intel' [20:11, 01:01](335 MB) +PASS -- TEST 'control_lndp_intel' [24:45, 00:34](531 MB) +PASS -- TEST 'control_iovr4_intel' [24:45, 00:49](528 MB) +PASS -- TEST 'control_iovr5_intel' [24:45, 00:42](529 MB) +PASS -- TEST 'control_p8_intel' [24:45, 01:12](1769 MB) +PASS -- TEST 'control_p8.v2.sfc_intel' [24:45, 01:51](1770 MB) +PASS -- TEST 'control_p8_ugwpv1_intel' [24:45, 01:55](1775 MB) +PASS -- TEST 'control_restart_p8_intel' [17:20, 01:42](921 MB) +PASS -- TEST 'control_noqr_p8_intel' [24:45, 01:32](1771 MB) +PASS -- TEST 'control_restart_noqr_p8_intel' [16:24, 01:44](926 MB) +PASS -- TEST 'control_decomp_p8_intel' [24:45, 01:07](1766 MB) +PASS -- TEST 'control_2threads_p8_intel' [24:45, 01:11](1865 MB) +PASS -- TEST 'control_p8_lndp_intel' [24:45, 00:32](1769 MB) +PASS -- TEST 'control_p8_rrtmgp_intel' [24:45, 02:01](1832 MB) +PASS -- TEST 'control_p8_mynn_intel' [24:16, 01:46](1784 MB) +PASS -- TEST 'merra2_thompson_intel' [19:07, 01:52](1778 MB) +PASS -- TEST 'regional_control_intel' [19:06, 00:15](852 MB) +PASS -- TEST 'regional_restart_intel' [12:18, 01:03](853 MB) +PASS -- TEST 'regional_decomp_intel' [18:08, 00:18](855 MB) +PASS -- TEST 'regional_2threads_intel' [17:55, 01:08](907 MB) +PASS -- TEST 'regional_noquilt_intel' [17:48, 00:49](1176 MB) +PASS -- TEST 'regional_netcdf_parallel_intel' [17:46, 00:45](851 MB) +PASS -- TEST 'regional_2dwrtdecomp_intel' [17:40, 00:39](855 MB) +PASS -- TEST 'regional_wofs_intel' [17:19, 00:42](1585 MB) + +PASS -- COMPILE 'rrfs_intel' [26:45, 25:32] ( 3 warnings 92 remarks ) +PASS -- TEST 'rap_control_intel' [14:58, 02:06](918 MB) +PASS -- TEST 'regional_spp_sppt_shum_skeb_intel' [14:28, 00:57](1090 MB) +PASS -- TEST 'rap_decomp_intel' [13:06, 01:26](917 MB) +PASS -- TEST 'rap_2threads_intel' [12:21, 02:13](1004 MB) +PASS -- TEST 'rap_restart_intel' [05:19, 02:02](788 MB) +PASS -- TEST 'rap_sfcdiff_intel' [12:16, 01:36](917 MB) +PASS -- TEST 'rap_sfcdiff_decomp_intel' [12:10, 01:16](911 MB) +PASS -- TEST 'rap_sfcdiff_restart_intel' [02:51, 01:11](788 MB) +PASS -- TEST 'hrrr_control_intel' [12:04, 01:37](908 MB) +PASS -- TEST 'hrrr_control_decomp_intel' [12:03, 01:28](912 MB) +PASS -- TEST 'hrrr_control_2threads_intel' [11:23, 01:34](991 MB) +PASS -- TEST 'hrrr_control_restart_intel' [06:05, 00:48](745 MB) +PASS -- TEST 'rrfs_v1beta_intel' [11:13, 01:37](911 MB) +PASS -- TEST 'rrfs_v1nssl_intel' [11:06, 00:33](1877 MB) +PASS -- TEST 'rrfs_v1nssl_nohailnoccn_intel' [11:02, 00:43](1861 MB) + +PASS -- COMPILE 'csawmg_intel' [19:35, 18:36] +PASS -- TEST 'control_csawmg_intel' [17:20, 00:26](873 MB) +PASS -- TEST 'control_ras_intel' [16:36, 00:46](560 MB) + +PASS -- COMPILE 'wam_intel' [15:31, 14:45] +PASS -- TEST 'control_wam_intel' [16:05, 00:52](272 MB) + +PASS -- COMPILE 'atm_faster_dyn32_intel' [23:43, 21:50] ( 1 remarks ) +PASS -- TEST 'control_p8_faster_intel' [10:58, 01:32](1765 MB) +PASS -- TEST 'regional_control_faster_intel' [10:26, 00:14](849 MB) + +PASS -- COMPILE 'atm_debug_dyn32_intel' [17:39, 16:02] ( 869 warnings 92 remarks ) +PASS -- TEST 'control_CubedSphereGrid_debug_intel' [09:44, 00:25](1489 MB) +PASS -- TEST 'control_wrtGauss_netcdf_parallel_debug_intel' [09:34, 00:32](1490 MB) +PASS -- TEST 'control_stochy_debug_intel' [09:32, 01:00](687 MB) +PASS -- TEST 'control_lndp_debug_intel' [09:23, 01:12](687 MB) +PASS -- TEST 'control_csawmg_debug_intel' [09:22, 00:50](1001 MB) +PASS -- TEST 'control_ras_debug_intel' [09:01, 00:20](700 MB) +PASS -- TEST 'control_diag_debug_intel' [08:32, 01:07](1549 MB) +PASS -- TEST 'control_debug_p8_intel' [08:03, 01:13](1786 MB) +PASS -- TEST 'regional_debug_intel' [07:33, 00:59](876 MB) +PASS -- TEST 'rap_control_debug_intel' [07:23, 01:16](1071 MB) +PASS -- TEST 'hrrr_control_debug_intel' [07:08, 00:26](1064 MB) +PASS -- TEST 'hrrr_gf_debug_intel' [06:05, 00:49](1072 MB) +PASS -- TEST 'hrrr_c3_debug_intel' [06:05, 00:48](1072 MB) +PASS -- TEST 'rap_unified_drag_suite_debug_intel' [05:33, 01:05](1072 MB) +PASS -- TEST 'rap_diag_debug_intel' [03:47, 00:56](1158 MB) +PASS -- TEST 'rap_cires_ugwp_debug_intel' [03:38, 01:01](1074 MB) +PASS -- TEST 'rap_unified_ugwp_debug_intel' [03:29, 01:15](1077 MB) +PASS -- TEST 'rap_lndp_debug_intel' [02:59, 00:37](1078 MB) +PASS -- TEST 'rap_progcld_thompson_debug_intel' [02:59, 00:38](1072 MB) +PASS -- TEST 'rap_noah_debug_intel' [02:54, 00:45](1066 MB) +PASS -- TEST 'rap_sfcdiff_debug_intel' [02:53, 00:35](1070 MB) +PASS -- TEST 'rap_noah_sfcdiff_cires_ugwp_debug_intel' [02:51, 00:25](1070 MB) +PASS -- TEST 'rrfs_v1beta_debug_intel' [02:51, 00:27](1066 MB) +PASS -- TEST 'rap_clm_lake_debug_intel' [02:49, 00:31](1072 MB) +PASS -- TEST 'rap_flake_debug_intel' [02:49, 00:24](1076 MB) +PASS -- TEST 'gnv1_c96_no_nest_debug_intel' [02:33, 01:49](1079 MB) + +PASS -- COMPILE 'wam_debug_intel' [17:39, 14:54] ( 842 warnings ) +PASS -- TEST 'control_wam_debug_intel' [02:29, 00:25](305 MB) + +PASS -- COMPILE 'rrfs_dyn32_phy32_intel' [15:34, 12:43] ( 3 warnings 91 remarks ) +PASS -- TEST 'regional_spp_sppt_shum_skeb_dyn32_phy32_intel' [15:35, 01:17](956 MB) +PASS -- TEST 'rap_control_dyn32_phy32_intel' [15:34, 02:01](788 MB) +PASS -- TEST 'hrrr_control_dyn32_phy32_intel' [15:34, 02:06](787 MB) +PASS -- TEST 'rap_2threads_dyn32_phy32_intel' [15:34, 01:32](860 MB) +PASS -- TEST 'hrrr_control_2threads_dyn32_phy32_intel' [02:16, 01:38](845 MB) +PASS -- TEST 'hrrr_control_decomp_dyn32_phy32_intel' [02:00, 02:00](786 MB) +PASS -- TEST 'rap_restart_dyn32_phy32_intel' [01:47, 01:08](689 MB) +PASS -- TEST 'hrrr_control_restart_dyn32_phy32_intel' [01:26, 00:21](667 MB) + +PASS -- COMPILE 'rrfs_dyn32_phy32_faster_intel' [17:36, 13:55] ( 3 warnings 91 remarks ) +PASS -- TEST 'conus13km_control_intel' [01:24, 00:51](1006 MB) +PASS -- TEST 'conus13km_2threads_intel' [57:26, 00:51](1011 MB) +PASS -- TEST 'conus13km_restart_mismatch_intel' [56:29, 00:18](883 MB) + +PASS -- COMPILE 'rrfs_dyn64_phy32_intel' [26:53, 23:51] ( 3 warnings 91 remarks ) +PASS -- TEST 'rap_control_dyn64_phy32_intel' [56:28, 00:48](809 MB) + +PASS -- COMPILE 'rrfs_dyn32_phy32_debug_intel' [10:28, 06:10] ( 773 warnings 91 remarks ) +PASS -- TEST 'rap_control_debug_dyn32_phy32_intel' [01:23, 00:59](945 MB) +PASS -- TEST 'hrrr_control_debug_dyn32_phy32_intel' [00:36, 01:05](946 MB) +PASS -- TEST 'conus13km_debug_intel' [59:48, 01:01](1042 MB) +PASS -- TEST 'conus13km_debug_qr_intel' [59:35, 00:39](710 MB) +PASS -- TEST 'conus13km_debug_2threads_intel' [59:31, 01:05](1043 MB) +PASS -- TEST 'conus13km_radar_tten_debug_intel' [58:52, 00:44](1111 MB) + +PASS -- COMPILE 'rrfs_dyn64_phy32_debug_intel' [15:36, 11:03] ( 773 warnings 91 remarks ) +PASS -- TEST 'rap_control_dyn64_phy32_debug_intel' [58:50, 00:22](980 MB) + +PASS -- COMPILE 'hafsw_intel' [20:36, 16:06] ( 1 warnings 8 remarks ) +PASS -- TEST 'hafs_regional_atm_intel' [58:08, 01:59](615 MB) +PASS -- TEST 'hafs_regional_atm_thompson_gfdlsf_intel' [56:31, 00:54](969 MB) +PASS -- TEST 'hafs_regional_atm_ocn_intel' [56:27, 01:53](661 MB) +PASS -- TEST 'hafs_regional_atm_wav_intel' [56:25, 01:58](698 MB) +PASS -- TEST 'hafs_regional_atm_ocn_wav_intel' [56:21, 01:32](715 MB) +PASS -- TEST 'hafs_regional_1nest_atm_intel' [56:18, 01:04](391 MB) +PASS -- TEST 'hafs_regional_telescopic_2nests_atm_intel' [56:10, 02:03](399 MB) +PASS -- TEST 'hafs_global_1nest_atm_intel' [56:06, 00:52](286 MB) +PASS -- TEST 'hafs_global_multiple_4nests_atm_intel' [55:56, 02:33](374 MB) +PASS -- TEST 'hafs_regional_specified_moving_1nest_atm_intel' [55:51, 01:06](418 MB) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_intel' [55:48, 01:24](424 MB) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_intel' [55:31, 00:42](492 MB) +PASS -- TEST 'hafs_global_storm_following_1nest_atm_intel' [55:27, 00:59](317 MB) + +PASS -- COMPILE 'hafsw_debug_intel' [14:28, 13:59] ( 1449 warnings 1501 remarks ) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_debug_intel' [55:19, 01:34](505 MB) + +PASS -- COMPILE 'hafsw_faster_intel' [22:45, 21:51] ( 7 remarks ) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_intel' [51:56, 01:05](529 MB) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_inline_intel' [51:44, 01:07](711 MB) + +PASS -- COMPILE 'hafs_mom6w_intel' [13:31, 12:27] ( 7 remarks ) +PASS -- TEST 'hafs_regional_storm_following_1nest_atm_ocn_wav_mom6_intel' [54:50, 01:04](712 MB) + +PASS -- COMPILE 'hafs_all_intel' [15:33, 14:30] ( 7 remarks ) +PASS -- TEST 'hafs_regional_docn_intel' [54:07, 01:41](656 MB) +PASS -- TEST 'hafs_regional_docn_oisst_intel' [53:40, 01:49](646 MB) +PASS -- TEST 'hafs_regional_datm_cdeps_intel' [53:14, 00:20](880 MB) + +PASS -- COMPILE 'atm_ds2s_docn_pcice_intel' [23:44, 22:28] ( 1 remarks ) +PASS -- TEST 'atm_ds2s_docn_pcice_intel' [48:36, 01:31](1826 MB) + +PASS -- COMPILE 'atml_intel' [23:45, 22:52] ( 8 warnings 2 remarks ) + +PASS -- COMPILE 'atml_debug_intel' [13:27, 11:26] ( 868 warnings 2 remarks ) + +PASS -- COMPILE 'atmaero_intel' [14:33, 13:36] ( 1 remarks ) +PASS -- TEST 'atmaero_control_p8_intel' [51:37, 01:31](3025 MB) +PASS -- TEST 'atmaero_control_p8_rad_intel' [50:55, 01:24](2906 MB) +PASS -- TEST 'atmaero_control_p8_rad_micro_intel' [49:49, 01:34](2920 MB) + +PASS -- COMPILE 'atmaq_intel' [10:31, 08:39] +PASS -- TEST 'regional_atmaq_v16_intel' [49:00, 01:08](3241 MB) + +PASS -- COMPILE 'atmaq_debug_intel' [11:30, 10:59] ( 842 warnings ) +PASS -- TEST 'regional_atmaq_v16_debug_intel' [48:17, 01:19](2196 MB) SYNOPSIS: -Starting Date/Time: 20240611 02:03:32 -Ending Date/Time: 20240611 03:36:57 -Total Time: 01h:34m:14s -Compiles Completed: 33/33 -Tests Completed: 156/156 +Starting Date/Time: 20240612 18:03:38 +Ending Date/Time: 20240612 20:08:37 +Total Time: 02h:06m:55s +Compiles Completed: 34/34 +Tests Completed: 157/157 NOTES: A file 'test_changes.list' was generated but is empty. diff --git a/tests/parm/aqm/aqm.rc b/tests/parm/aqm/aqm.rc index 20a2288d18..090d9fcca2 100644 --- a/tests/parm/aqm/aqm.rc +++ b/tests/parm/aqm/aqm.rc @@ -7,34 +7,28 @@ # # General settings # -ae_matrix_nml: AE_cb6r3_ae6_aq.nml -gc_matrix_nml: GC_cb6r3_ae6_aq.nml -nr_matrix_nml: NR_cb6r3_ae6_aq.nml -tr_matrix_nml: Species_Table_TR_0.nml - -csqy_data: CSQY_DATA_cb6r3_ae6_aq -optics_data: PHOT_OPTICS.dat -omi_data: omi_cmaq_2015_361X179.dat - +ae_matrix_nml: aqm/epa/data/AE_cb6r3_ae6_aq.nml +gc_matrix_nml: aqm/epa/data/GC_cb6r3_ae6_aq.nml +nr_matrix_nml: aqm/epa/data/NR_cb6r3_ae6_aq.nml +tr_matrix_nml: aqm/epa/data/Species_Table_TR_0.nml + +optics_data: aqm/epa/data/PHOT_OPTICS.dat +omi_data: aqm/epa/data/omi_cmaq_2015_361X179.dat +csqy_data: aqm/epa/data/CSQY_DATA_cb6r3_ae6_aq init_concentrations: false run_aerosol: true - mp_tracer_map: gfdl dy_tracer_map_start: 9 ctm_aod: true ctm_pmdiag: true -ctm_wb_dust: true - ctm_stdout: maintask # # Input emissions # -# emission_sources: anthro fire pt dust prod -# emission_sources: anthro dust prod -emission_sources: anthro pt fire dust prod +emission_sources: anthro fire pt dust prod # # Anthropogenic @@ -53,7 +47,7 @@ anthro_format: netcdf #anthro_path: # emissions file name -anthro_file: NEXUS/NEXUS_Expt.nc +anthro_file: INPUT/NEXUS_Expt.nc # emissions time dependency # supported settings are: @@ -78,63 +72,63 @@ anthro_frequency: hourly # NO2 -0.05000 no2_sink_1 kg/s # anthro_species:: - ACET 0.00000 ACET KG/M2/S - ACROLEIN 0.00000 ACROLEIN KG/M2/S - ALD2 0.00000 ALD2 KG/M2/S - ALD2_PRIMARY 0.00000 ALD2_PRIMARY KG/M2/S - ALDX 0.00000 ALDX KG/M2/S - BENZ 0.00000 BENZ KG/M2/S - BUTADIENE13 0.00000 BUTADIENE13 KG/M2/S - CH4 0.00000 CH4 KG/M2/S - CH4_INV 0.00000 CH4_INV KG/M2/S - CL2 0.00000 CL2 KG/M2/S - CO 0.00000 CO KG/M2/S - CO2_INV 0.00000 CO2_INV KG/M2/S - ETH 0.00000 ETH KG/M2/S - ETHA 0.00000 ETHA KG/M2/S - ETHY 0.00000 ETHY KG/M2/S - ETOH 0.00000 ETOH KG/M2/S - FORM 0.00000 FORM KG/M2/S - FORM_PRIMARY 0.00000 FORM_PRIMARY KG/M2/S - HCL 0.00000 HCL KG/M2/S - HONO 0.00000 HONO KG/M2/S - IOLE 0.00000 IOLE KG/M2/S - ISOP 0.00000 ISOP KG/M2/S - KET 0.00000 KET KG/M2/S - MEOH 0.00000 MEOH KG/M2/S - NAPH 0.00000 NAPH KG/M2/S - NH3 0.00000 NH3 KG/M2/S - NH3_FERT 0.00000 NH3_FERT KG/M2/S - NO 0.00000 NO KG/M2/S - NO2 0.00000 NO2 KG/M2/S - OLE 0.00000 OLE KG/M2/S - PAL 0.00000 PAL KG/M2/S - PAR 0.00000 PAR KG/M2/S - PCA 0.00000 PCA KG/M2/S - PCL 0.00000 PCL KG/M2/S - PEC 0.00000 PEC KG/M2/S - PFE 0.00000 PFE KG/M2/S - PH2O 0.00000 PH2O KG/M2/S - PK 0.00000 PK KG/M2/S - PMC 0.00000 PMC KG/M2/S - PMG 0.00000 PMG KG/M2/S - PMN 0.00000 PMN KG/M2/S - PMOTHR 0.00000 PMOTHR KG/M2/S - PNA 0.00000 PNA KG/M2/S - PNCOM 0.00000 PNCOM KG/M2/S - PNH4 0.00000 PNH4 KG/M2/S - PNO3 0.00000 PNO3 KG/M2/S - POC 0.00000 POC KG/M2/S - PRPA 0.00000 PRPA KG/M2/S - PSI 0.00000 PSI KG/M2/S - PSO4 0.00000 PSO4 KG/M2/S - PTI 0.00000 PTI KG/M2/S - SO2 0.00000 SO2 KG/M2/S - SOAALK 0.00000 SOAALK KG/M2/S - SULF 0.00000 SULF KG/M2/S - TERP 0.00000 TERP KG/M2/S - TOL 0.00000 TOL KG/M2/S - XYLMN 0.00000 XYLMN KG/M2/S + ACET 1.00000 ACET KG/M2/S + ACROLEIN 1.00000 ACROLEIN KG/M2/S + ALD2 1.00000 ALD2 KG/M2/S + ALD2_PRIMARY 1.00000 ALD2_PRIMARY KG/M2/S + ALDX 1.00000 ALDX KG/M2/S + BENZ 1.00000 BENZ KG/M2/S + BUTADIENE13 1.00000 BUTADIENE13 KG/M2/S + CH4 1.00000 CH4 KG/M2/S + CH4_INV 1.00000 CH4_INV KG/M2/S + CL2 1.00000 CL2 KG/M2/S + CO 1.00000 CO KG/M2/S + CO2_INV 1.00000 CO2_INV KG/M2/S + ETH 1.00000 ETH KG/M2/S + ETHA 1.00000 ETHA KG/M2/S + ETHY 1.00000 ETHY KG/M2/S + ETOH 1.00000 ETOH KG/M2/S + FORM 1.00000 FORM KG/M2/S + FORM_PRIMARY 1.00000 FORM_PRIMARY KG/M2/S + HCL 1.00000 HCL KG/M2/S + HONO 1.00000 HONO KG/M2/S + IOLE 1.00000 IOLE KG/M2/S + ISOP 1.00000 ISOP KG/M2/S + KET 1.00000 KET KG/M2/S + MEOH 1.00000 MEOH KG/M2/S + NAPH 1.00000 NAPH KG/M2/S + NH3 1.00000 NH3 KG/M2/S + NH3_FERT 1.00000 NH3_FERT KG/M2/S + NO 1.00000 NO KG/M2/S + NO2 1.00000 NO2 KG/M2/S + OLE 1.00000 OLE KG/M2/S + PAL 1.00000 PAL KG/M2/S + PAR 1.00000 PAR KG/M2/S + PCA 1.00000 PCA KG/M2/S + PCL 1.00000 PCL KG/M2/S + PEC 1.00000 PEC KG/M2/S + PFE 1.00000 PFE KG/M2/S + PH2O 1.00000 PH2O KG/M2/S + PK 1.00000 PK KG/M2/S + PMC 1.00000 PMC KG/M2/S + PMG 1.00000 PMG KG/M2/S + PMN 1.00000 PMN KG/M2/S + PMOTHR 1.00000 PMOTHR KG/M2/S + PNA 1.00000 PNA KG/M2/S + PNCOM 1.00000 PNCOM KG/M2/S + PNH4 1.00000 PNH4 KG/M2/S + PNO3 1.00000 PNO3 KG/M2/S + POC 1.00000 POC KG/M2/S + PRPA 1.00000 PRPA KG/M2/S + PSI 1.00000 PSI KG/M2/S + PSO4 1.00000 PSO4 KG/M2/S + PTI 1.00000 PTI KG/M2/S + SO2 1.00000 SO2 KG/M2/S + SOAALK 1.00000 SOAALK KG/M2/S + SULF 1.00000 SULF KG/M2/S + TERP 1.00000 TERP KG/M2/S + TOL 1.00000 TOL KG/M2/S + XYLMN 1.00000 XYLMN KG/M2/S AACD FACD GLYXL @@ -144,44 +138,32 @@ anthro_species:: SESQ TOLU :: + # -# Fengsha Dust Emission Option +# dust # dust_type: fengsha - dust_format: netcdf - +dust_file: FENGSHA/FENGSHA_p8_10km_inputs_AQM_NA_13km.nc dust_frequency: monthly - -#dust_file: FENGSHA_p8_10km_inputs_RRFS_CONUScompact_13km.nc -dust_file: FENGSHA_p8_10km_inputs_CONUS_775.nc - -dust_alpha: 0.05 - +dust_alpha: 3.0 dust_species:: - clayf 1.00000 clayfrac 1 - sandf 1.00000 sandfrac 1 - drag 1.00000 albedo_drag 1 - uthr 1.00000 uthres 1 + CLAYF 1.00000 clayfrac 1 + SANDF 1.00000 sandfrac 1 + DRAG 1.00000 albedo_drag 1 + UTHR 1.00000 uthres 1 :: # # Biogenic # bio_type: biogenic - bio_format: netcdf - -bio_file: BEIS_RRFScmaq_C775.ncf - +bio_file: bio/BEIS_RRFScmaq_C775.ncf bio_frequency: static - bio_period: summer - -bio_speciation_file: gspro_biogenics_1mar2017.txt - +bio_speciation_file: bio/gspro_biogenics_1mar2017.txt bio_speciation_profile: B10C6 - bio_species:: AVG_NOAG_GROW 1.00000 AVG_NOAG_GROW gmN/hr AVG_NOAG_NONGROWNB3 1.00000 AVG_NOAG_NONGROW gmN/hr @@ -263,20 +245,32 @@ bio_species:: :: # -# Fire emissions from GBBEPx +# Inline Canopy Effects # -fire_type: gbbepx +canopy_yn: false +canopy_type: canopy +canopy_format: netcdf +canopy_file: canopy/AQM_NA_13km/gfs.t12z.geo.11.canopy_regrid.nc +canopy_frequency: static +canopy_species:: + FCH 1.00000 FCH m + FRT 1.00000 FRT 1 + CLU 1.00000 CLU 1 + POPU 1.00000 POPU 10000_people/10km2 + LAIE 1.00000 LAIE 1 + C1R 1.00000 C1R 1 + C2R 1.00000 C2R 1 + C3R 1.00000 C3R 1 + C4R 1.00000 C4R 1 +:: # -# Note: regional_workflow sets aqm_rc_fire_file_fp from input -# parameters 'aqm_fire_dir','aqm_fire_file', and 'cdate'. +# Fire emissions from GBBEPx # -fire_file: Hourly_Emissions_regrid_rrfs_13km_20190801_t12z_h72.nc - +fire_type: gbbepx +fire_file: fire/Hourly_Emissions_regrid_NA_13km_20231110_t00z_h72.nc fire_frequency: hourly - fire_plume_rise: sofiev - fire_species:: PAL 0.0006 PM2.5 KG/M2/S PCA 0.0039 PM2.5 KG/M2/S @@ -295,51 +289,48 @@ fire_species:: PSI 0.0018 PM2.5 KG/M2/S PSO4 0.0126 PM2.5 KG/M2/S PTI 0.0001 PM2.5 KG/M2/S - NO 0.013 CO KG/M2/S - NO2 0.037 CO KG/M2/S - SO2 0.008 CO KG/M2/S - NH3 0.015 CO KG/M2/S - CO 1.000 CO KG/M2/S - ACET 0.001092 CO KG/M2/S - ALD2 0.0009828 CO KG/M2/S - ALDX 0.0030368 CO KG/M2/S - BENZ 0.0009612 CO KG/M2/S - CH4 0.0092508 CO KG/M2/S - ETH 0.0022048 CO KG/M2/S - ETHA 0.0011804 CO KG/M2/S - ETHY 0.0005304 CO KG/M2/S - ETOH 3.64e-05 CO KG/M2/S - FORM 0.0043316 CO KG/M2/S - IOLE 0.0004836 CO KG/M2/S - ISOP 0.0001976 CO KG/M2/S - KET 0.0002652 CO KG/M2/S - MEOH 0.0039364 CO KG/M2/S - OLE 0.0034424 CO KG/M2/S - PAR 0.0074776 CO KG/M2/S - PRPA 0.0004888 CO KG/M2/S - TERP 0.0004316 CO KG/M2/S - TOL 0.0013208 CO KG/M2/S - UNR 0.0100516 CO KG/M2/S - XYL 0.0002964 CO KG/M2/S + NO 0.0450 CO KG/M2/S + NO2 0.0050 CO KG/M2/S + SO2 0.0080 CO KG/M2/S + NH3 0.0150 CO KG/M2/S + CO 1.0000 CO KG/M2/S + ACET 0.0000 CO KG/M2/S + ALD2 0.0000 CO KG/M2/S + ALDX 0.0000 CO KG/M2/S + BENZ 0.0000 CO KG/M2/S + CH4 0.0000 CO KG/M2/S + ETH 0.0000 CO KG/M2/S + ETHA 0.0000 CO KG/M2/S + ETHY 0.0000 CO KG/M2/S + ETOH 0.0000 CO KG/M2/S + FORM 0.0000 CO KG/M2/S + IOLE 0.0000 CO KG/M2/S + ISOP 0.0000 CO KG/M2/S + KET 0.0000 CO KG/M2/S + MEOH 0.0000 CO KG/M2/S + OLE 0.0000 CO KG/M2/S + PAR 0.0000 CO KG/M2/S + PRPA 0.0000 CO KG/M2/S + TERP 0.0000 CO KG/M2/S + TOL 0.0000 CO KG/M2/S + UNR 0.0000 CO KG/M2/S + XYL 0.0000 CO KG/M2/S FRP 1000000 MeanFRP 1 :: - +# +# PT Source +# pt_type: point-source - -pt_file: pt-2019080112.nc - +pt_file: INPUT/PT.nc pt_frequency: hourly - pt_plume_rise: default -pt_layers: 8 - +pt_layers: 24 pt_latlon_names: LATITUDE LONGITUDE pt_stack_diameter: STKDM pt_stack_height: STKHT pt_stack_temperature: STKTK pt_stack_velocity: STKVE - pt_species:: ACET 1.0000 ACET MOL/S ACROLEIN 1.0000 ACROLEIN MOL/S @@ -405,13 +396,9 @@ pt_species:: # Output products # prod_type: product - prod_file: aqm.prod.nc - prod_frequency: hourly - prod_sync: true - prod_species:: O3 ozone instantaneous O3 o3min min diff --git a/tests/parm/diag_table/diag_table_aqm b/tests/parm/diag_table/diag_table_aqm index 3b0c02483a..821bda36c5 100644 --- a/tests/parm/diag_table/diag_table_aqm +++ b/tests/parm/diag_table/diag_table_aqm @@ -1,8 +1,8 @@ -20190801.12Z.C775.32bit.non-hydro.regional -2019 08 01 12 00 00 +20231110.00Z.C793.32bit.non-hydro.regional +2023 11 10 00 00 00 "grid_spec", -1, "months", 1, "days", "time" -"atmos_4xdaily", 6, "hours", 1, "days", "time" +#"atmos_4xdaily", 6, "hours", 1, "days", "time" "atmos_static", -1, "hours", 1, "hours", "time" "fv3_history", 1, "years", 1, "hours", "time" "fv3_history2d", 1, "years", 1, "hours", "time" @@ -22,75 +22,75 @@ ### # 4x daily output ### - "dynamics", "slp", "slp", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "vort850", "vort850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "vort200", "vort200", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "us", "us", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "u1000", "u1000", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "u850", "u850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "u700", "u700", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "u500", "u500", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "u200", "u200", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "u100", "u100", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "u50", "u50", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "u10", "u10", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "vs", "vs", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "v1000", "v1000", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "v850", "v850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "v700", "v700", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "v500", "v500", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "v200", "v200", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "v100", "v100", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "v50", "v50", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "v10", "v10", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "slp", "slp", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "vort850", "vort850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "vort200", "vort200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "us", "us", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "u1000", "u1000", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "u850", "u850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "u700", "u700", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "u500", "u500", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "u200", "u200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "u100", "u100", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "u50", "u50", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "u10", "u10", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "vs", "vs", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "v1000", "v1000", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "v850", "v850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "v700", "v700", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "v500", "v500", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "v200", "v200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "v100", "v100", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "v50", "v50", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "v10", "v10", "atmos_4xdaily", "all", .false., "none", 2 #### - "dynamics", "tm", "tm", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "t1000", "t1000", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "t850", "t850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "t700", "t700", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "t500", "t500", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "t200", "t200", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "t100", "t100", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "t50", "t50", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "t10", "t10", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "tm", "tm", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "t1000", "t1000", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "t850", "t850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "t700", "t700", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "t500", "t500", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "t200", "t200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "t100", "t100", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "t50", "t50", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "t10", "t10", "atmos_4xdaily", "all", .false., "none", 2 #### - "dynamics", "h1000", "h1000", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "h850", "h850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "h700", "h700", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "h500", "h500", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "h200", "h200", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "h100", "h100", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "h50", "h50", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "h10", "h10", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "h1000", "h1000", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "h850", "h850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "h700", "h700", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "h500", "h500", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "h200", "h200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "h100", "h100", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "h50", "h50", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "h10", "h10", "atmos_4xdaily", "all", .false., "none", 2 #### #"dynamics", "w1000", "w1000", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "w850", "w850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "w700", "w700", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "w500", "w500", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "w200", "w200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "w850", "w850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "w700", "w700", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "w500", "w500", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "w200", "w200", "atmos_4xdaily", "all", .false., "none", 2 #### - "dynamics", "q1000", "q1000", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "q850", "q850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "q700", "q700", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "q500", "q500", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "q200", "q200", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "q100", "q100", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "q50", "q50", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "q10", "q10", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "q1000", "q1000", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "q850", "q850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "q700", "q700", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "q500", "q500", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "q200", "q200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "q100", "q100", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "q50", "q50", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "q10", "q10", "atmos_4xdaily", "all", .false., "none", 2 #### - "dynamics", "rh1000", "rh1000", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "rh850", "rh850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "rh700", "rh700", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "rh500", "rh500", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "rh200", "rh200", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "omg1000", "omg1000", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "omg850", "omg850", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "omg700", "omg700", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "omg500", "omg500", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "omg200", "omg200", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "omg100", "omg100", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "omg50", "omg50", "atmos_4xdaily", "all", .false., "none", 2 - "dynamics", "omg10", "omg10", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "rh1000", "rh1000", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "rh850", "rh850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "rh700", "rh700", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "rh500", "rh500", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "rh200", "rh200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "omg1000", "omg1000", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "omg850", "omg850", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "omg700", "omg700", "atmos_4xdaily", "all", .false., "none", 2 +## "dynamics", "omg500", "omg500", "atmos_4xdaily", "all", .false., "none", 2 +## "dynamics", "omg200", "omg200", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "omg100", "omg100", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "omg50", "omg50", "atmos_4xdaily", "all", .false., "none", 2 +# "dynamics", "omg10", "omg10", "atmos_4xdaily", "all", .false., "none", 2 ### # gfs static data ### @@ -106,27 +106,20 @@ "gfs_dyn", "vcomp", "vgrd", "fv3_history", "all", .false., "none", 2 "gfs_dyn", "sphum", "spfh", "fv3_history", "all", .false., "none", 2 "gfs_dyn", "temp", "tmp", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "liq_wat", "clwmr", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "o3mr", "o3mr", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "liq_wat", "clwmr", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "o3mr", "o3mr", "fv3_history", "all", .false., "none", 2 "gfs_dyn", "delp", "dpres", "fv3_history", "all", .false., "none", 2 "gfs_dyn", "delz", "delz", "fv3_history", "all", .false., "none", 2 "gfs_dyn", "w", "dzdt", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ice_wat", "icmr", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "rainwat", "rwmr", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "snowwat", "snmr", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "graupel", "grle", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ice_wat", "icmr", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "rainwat", "rwmr", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "snowwat", "snmr", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "graupel", "grle", "fv3_history", "all", .false., "none", 2 "gfs_dyn", "ps", "pressfc", "fv3_history", "all", .false., "none", 2 "gfs_dyn", "hs", "hgtsfc", "fv3_history", "all", .false., "none", 2 #"gfs_dyn", "ice_nc", "nicp", "fv3_history", "all", .false., "none", 2 #"gfs_dyn", "rain_nc", "ntrnc", "fv3_history", "all", .false., "none", 2 -"gfs_phys", "frzr", "frzr", "fv3_history2d", "all", .false., "none", 2 -"gfs_phys", "frzrb", "frzrb", "fv3_history2d", "all", .false., "none", 2 -"gfs_phys", "frozr", "frozr", "fv3_history2d", "all", .false., "none", 2 -"gfs_phys", "frozrb", "frozrb", "fv3_history2d", "all", .false., "none", 2 -"gfs_phys", "tsnowp", "tsnowp", "fv3_history2d", "all", .false., "none", 2 -"gfs_phys", "tsnowpb", "tsnowpb", "fv3_history2d", "all", .false., "none", 2 -"gfs_phys", "rhonewsn", "rhonewsn", "fv3_history2d", "all", .false., "none", 2 "gfs_phys", "ALBDO_ave", "albdo_ave", "fv3_history2d", "all", .false., "none", 2 "gfs_phys", "cnvprcp_ave", "cprat_ave", "fv3_history2d", "all", .false., "none", 2 "gfs_phys", "cnvprcpb_ave", "cpratb_ave", "fv3_history2d", "all", .false., "none", 2 @@ -147,7 +140,7 @@ "gfs_phys", "hpbl", "hpbl", "fv3_history2d", "all", .false., "none", 2 "gfs_phys", "lhtfl_ave", "lhtfl_ave", "fv3_history2d", "all", .false., "none", 2 "gfs_phys", "shtfl_ave", "shtfl_ave", "fv3_history2d", "all", .false., "none", 2 -"gfs_phys", "pwat", "pwatclm", "fv3_history2d", "all", .false., "none", 2 +"gfs_phys", "pwat", "pwat", "fv3_history2d", "all", .false., "none", 2 "gfs_phys", "soilm", "soilm", "fv3_history2d", "all", .false., "none", 2 "gfs_phys", "TCDC_aveclm", "tcdc_aveclm", "fv3_history2d", "all", .false., "none", 2 "gfs_phys", "TCDC_avebndcl", "tcdc_avebndcl", "fv3_history2d", "all", .false., "none", 2 @@ -287,203 +280,202 @@ ### # chemical tracers advected by FV3 ### -"gfs_dyn", "no2", "no2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "no", "no", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "o3", "o3", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "no3", "no3", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "h2o2", "h2o2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "n2o5", "n2o5", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "hno3", "hno3", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "hono", "hono", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "pna", "pna", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "so2", "so2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sulf", "sulf", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "pan", "pan", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "pacd", "pacd", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aacd", "aacd", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ald2", "ald2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "panx", "panx", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "form", "form", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "mepx", "mepx", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "meoh", "meoh", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "rooh", "rooh", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ntr1", "ntr1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ntr2", "ntr2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "facd", "facd", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "co", "co", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aldx", "aldx", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "glyd", "glyd", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "gly", "gly", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "mgly", "mgly", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "etha", "etha", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "etoh", "etoh", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ket", "ket", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "par", "par", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "acet", "acet", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "prpa", "prpa", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ethy", "ethy", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "eth", "eth", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ole", "ole", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "iole", "iole", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "isop", "isop", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ispd", "ispd", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "intr", "intr", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ispx", "ispx", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "hpld", "hpld", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "opo3", "opo3", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "epox", "epox", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "terp", "terp", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "benzene", "benzene", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "cres", "cres", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "open", "open", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "tol", "tol", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "xopn", "xopn", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "xylmn", "xylmn", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "naph", "naph", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "cat1", "cat1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "cron", "cron", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "opan", "opan", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ech4", "ech4", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "cl2", "cl2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "hocl", "hocl", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "fmcl", "fmcl", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "hcl", "hcl", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "clno2", "clno2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sesq", "sesq", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "soaalk", "soaalk", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vlvpo1", "vlvpo1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vsvpo1", "vsvpo1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vsvpo2", "vsvpo2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vsvpo3", "vsvpo3", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vivpo1", "vivpo1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vlvoo1", "vlvoo1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vlvoo2", "vlvoo2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vsvoo1", "vsvoo1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vsvoo2", "vsvoo2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "vsvoo3", "vsvoo3", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "pcvoc", "pcvoc", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "form_primary", "form_primary", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ald2_primary", "ald2_primary", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "butadiene13", "butadiene13", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "acrolein", "acrolein", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "acro_primary", "acro_primary", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "tolu", "tolu", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "hg", "hg", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "hgiigas", "hgiigas", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aso4j", "aso4j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aso4i", "aso4i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "anh4j", "anh4j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "anh4i", "anh4i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ano3j", "ano3j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ano3i", "ano3i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aalk1j", "aalk1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aalk2j", "aalk2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "axyl1j", "axyl1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "axyl2j", "axyl2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "axyl3j", "axyl3j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "atol1j", "atol1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "atol2j", "atol2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "atol3j", "atol3j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "abnz1j", "abnz1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "abnz2j", "abnz2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "abnz3j", "abnz3j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "apah1j", "apah1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "apah2j", "apah2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "apah3j", "apah3j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "atrp1j", "atrp1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "atrp2j", "atrp2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aiso1j", "aiso1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aiso2j", "aiso2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asqtj", "asqtj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aorgcj", "aorgcj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aecj", "aecj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aeci", "aeci", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aothrj", "aothrj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aothri", "aothri", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "afej", "afej", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aalj", "aalj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asij", "asij", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "atij", "atij", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "acaj", "acaj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "amgj", "amgj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "akj", "akj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "amnj", "amnj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "acors", "acors", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asoil", "asoil", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "numatkn", "numatkn", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "numacc", "numacc", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "numcor", "numcor", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "srfatkn", "srfatkn", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "srfacc", "srfacc", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "srfcor", "srfcor", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ah2oj", "ah2oj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ah2oi", "ah2oi", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ah3opj", "ah3opj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ah3opi", "ah3opi", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "anaj", "anaj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "anai", "anai", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aclj", "aclj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "acli", "acli", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aseacat", "aseacat", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aclk", "aclk", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aso4k", "aso4k", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "anh4k", "anh4k", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ano3k", "ano3k", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ah2ok", "ah2ok", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "ah3opk", "ah3opk", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aiso3j", "aiso3j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aolgaj", "aolgaj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aolgbj", "aolgbj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aglyj", "aglyj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "apcsoj", "apcsoj", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "alvpo1i", "alvpo1i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvpo1i", "asvpo1i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvpo2i", "asvpo2i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "alvpo1j", "alvpo1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvpo1j", "asvpo1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvpo2j", "asvpo2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvpo3j", "asvpo3j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "aivpo1j", "aivpo1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "alvoo1i", "alvoo1i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "alvoo2i", "alvoo2i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvoo1i", "asvoo1i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvoo2i", "asvoo2i", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "alvoo1j", "alvoo1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "alvoo2j", "alvoo2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvoo1j", "asvoo1j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvoo2j", "asvoo2j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "asvoo3j", "asvoo3j", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "nh3", "nh3", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_alk1", "sv_alk1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_alk2", "sv_alk2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_xyl1", "sv_xyl1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_xyl2", "sv_xyl2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_tol1", "sv_tol1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_tol2", "sv_tol2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_bnz1", "sv_bnz1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_bnz2", "sv_bnz2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_pah1", "sv_pah1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_pah2", "sv_pah2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_trp1", "sv_trp1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_trp2", "sv_trp2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_iso1", "sv_iso1", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_iso2", "sv_iso2", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "sv_sqt", "sv_sqt", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "lv_pcsog", "lv_pcsog", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "o3_ave", "o3_ave", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "no_ave", "no_ave", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "no2_ave", "no2_ave", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "pm25_ave", "pm25_ave", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "no2", "no2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "no", "no", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "o3", "o3", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "no3", "no3", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "h2o2", "h2o2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "n2o5", "n2o5", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "hno3", "hno3", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "hono", "hono", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "pna", "pna", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "so2", "so2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sulf", "sulf", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "pan", "pan", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "pacd", "pacd", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aacd", "aacd", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ald2", "ald2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "panx", "panx", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "form", "form", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "mepx", "mepx", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "meoh", "meoh", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "rooh", "rooh", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ntr1", "ntr1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ntr2", "ntr2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "facd", "facd", "fv3_history", "all", .false., "none", 2 +"gfs_dyn", "co", "co", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aldx", "aldx", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "glyd", "glyd", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "gly", "gly", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "mgly", "mgly", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "etha", "etha", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "etoh", "etoh", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ket", "ket", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "par", "par", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "acet", "acet", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "prpa", "prpa", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ethy", "ethy", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "eth", "eth", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ole", "ole", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "iole", "iole", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "isop", "isop", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ispd", "ispd", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "intr", "intr", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ispx", "ispx", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "hpld", "hpld", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "opo3", "opo3", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "epox", "epox", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "terp", "terp", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "benzene", "benzene", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "cres", "cres", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "open", "open", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "tol", "tol", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "xopn", "xopn", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "xylmn", "xylmn", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "naph", "naph", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "cat1", "cat1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "cron", "cron", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "opan", "opan", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ech4", "ech4", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "cl2", "cl2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "hocl", "hocl", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "fmcl", "fmcl", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "hcl", "hcl", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "clno2", "clno2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sesq", "sesq", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "soaalk", "soaalk", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vlvpo1", "vlvpo1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vsvpo1", "vsvpo1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vsvpo2", "vsvpo2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vsvpo3", "vsvpo3", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vivpo1", "vivpo1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vlvoo1", "vlvoo1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vlvoo2", "vlvoo2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vsvoo1", "vsvoo1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vsvoo2", "vsvoo2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "vsvoo3", "vsvoo3", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "pcvoc", "pcvoc", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "form_primary", "form_primary", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ald2_primary", "ald2_primary", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "butadiene13", "butadiene13", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "acrolein", "acrolein", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "acro_primary", "acro_primary", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "tolu", "tolu", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "hg", "hg", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "hgiigas", "hgiigas", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aso4j", "aso4j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aso4i", "aso4i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "anh4j", "anh4j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "anh4i", "anh4i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ano3j", "ano3j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ano3i", "ano3i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aalk1j", "aalk1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aalk2j", "aalk2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "axyl1j", "axyl1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "axyl2j", "axyl2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "axyl3j", "axyl3j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "atol1j", "atol1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "atol2j", "atol2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "atol3j", "atol3j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "abnz1j", "abnz1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "abnz2j", "abnz2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "abnz3j", "abnz3j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "apah1j", "apah1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "apah2j", "apah2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "apah3j", "apah3j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "atrp1j", "atrp1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "atrp2j", "atrp2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aiso1j", "aiso1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aiso2j", "aiso2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asqtj", "asqtj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aorgcj", "aorgcj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aecj", "aecj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aeci", "aeci", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aothrj", "aothrj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aothri", "aothri", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "afej", "afej", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aalj", "aalj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asij", "asij", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "atij", "atij", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "acaj", "acaj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "amgj", "amgj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "akj", "akj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "amnj", "amnj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "acors", "acors", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asoil", "asoil", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "numatkn", "numatkn", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "numacc", "numacc", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "numcor", "numcor", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "srfatkn", "srfatkn", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "srfacc", "srfacc", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "srfcor", "srfcor", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ah2oj", "ah2oj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ah2oi", "ah2oi", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ah3opj", "ah3opj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ah3opi", "ah3opi", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "anaj", "anaj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "anai", "anai", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aclj", "aclj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "acli", "acli", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aseacat", "aseacat", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aclk", "aclk", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aso4k", "aso4k", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "anh4k", "anh4k", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ano3k", "ano3k", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ah2ok", "ah2ok", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "ah3opk", "ah3opk", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aiso3j", "aiso3j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aolgaj", "aolgaj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aolgbj", "aolgbj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aglyj", "aglyj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "apcsoj", "apcsoj", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "alvpo1i", "alvpo1i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvpo1i", "asvpo1i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvpo2i", "asvpo2i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "alvpo1j", "alvpo1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvpo1j", "asvpo1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvpo2j", "asvpo2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvpo3j", "asvpo3j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "aivpo1j", "aivpo1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "alvoo1i", "alvoo1i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "alvoo2i", "alvoo2i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvoo1i", "asvoo1i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvoo2i", "asvoo2i", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "alvoo1j", "alvoo1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "alvoo2j", "alvoo2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvoo1j", "asvoo1j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvoo2j", "asvoo2j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "asvoo3j", "asvoo3j", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "nh3", "nh3", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_alk1", "sv_alk1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_alk2", "sv_alk2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_xyl1", "sv_xyl1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_xyl2", "sv_xyl2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_tol1", "sv_tol1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_tol2", "sv_tol2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_bnz1", "sv_bnz1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_bnz2", "sv_bnz2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_pah1", "sv_pah1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_pah2", "sv_pah2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_trp1", "sv_trp1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_trp2", "sv_trp2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_iso1", "sv_iso1", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_iso2", "sv_iso2", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "sv_sqt", "sv_sqt", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "lv_pcsog", "lv_pcsog", "fv3_history", "all", .false., "none", 2 +"gfs_dyn", "o3_ave", "o3_ave", "fv3_history", "all", .false., "none", 2 +"gfs_dyn", "no_ave", "no_ave", "fv3_history", "all", .false., "none", 2 +"gfs_dyn", "no2_ave", "no2_ave", "fv3_history", "all", .false., "none", 2 +"gfs_dyn", "pm25_ave", "pm25_ave", "fv3_history", "all", .false., "none", 2 ### # aerosol diagnostics ### -"gfs_dyn", "pm25at", "pm25at", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "pm25ac", "pm25ac", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "pm25co", "pm25co", "fv3_history", "all", .false., "none", 2 -"gfs_dyn", "pm25_tot", "pm25_tot", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "pm25at", "pm25at", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "pm25ac", "pm25ac", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "pm25co", "pm25co", "fv3_history", "all", .false., "none", 2 +#"gfs_dyn", "pm25_tot", "pm25_tot", "fv3_history", "all", .false., "none", 2 "gfs_phys", "aod", "aod", "fv3_history2d", "all", .false., "none", 2 - #============================================================================================= # #====> This file can be used with diag_manager/v2.0a (or higher) <==== @@ -516,4 +508,4 @@ #packing = 1 double precision # = 2 float # = 4 packed 16-bit integers -# = 8 packed 1-byte (not tested?) +# = 8 packed 1-byte (not tested?) \ No newline at end of file diff --git a/tests/parm/field_table/field_table_aqm b/tests/parm/field_table/field_table_aqm index 349817bf59..b8b0bee266 100644 --- a/tests/parm/field_table/field_table_aqm +++ b/tests/parm/field_table/field_table_aqm @@ -967,7 +967,7 @@ "units", "1" "tracer_usage", "chemistry", "type=diagnostic" "profile_type", "fixed", "surface_value=0.0" / - "TRACER", "atmos_mod", "PM25_TOT" +"TRACER", "atmos_mod", "PM25_TOT" "longname", "PM 2.5 from AQM model" "units", "ug/m3" "tracer_usage", "chemistry", "type=diagnostic" diff --git a/tests/parm/model_configure_atmaq.IN b/tests/parm/model_configure_atmaq.IN index f28f81da55..1c905317c8 100644 --- a/tests/parm/model_configure_atmaq.IN +++ b/tests/parm/model_configure_atmaq.IN @@ -5,30 +5,74 @@ start_hour: @[SHOUR] start_minute: 0 start_second: 0 nhours_fcst: @[FHMAX] +fhrot: 0 +RUN_CONTINUE: .false. +ENS_SPS: .false. dt_atmos: @[DT_ATMOS] -restart_interval: @[RESTART_INTERVAL] -write_dopost: @[WRITE_DOPOST] +calendar: 'julian' +memuse_verbose: .false. +restart_interval: 6 +output_1st_tstep_rst: .false. +write_dopost: .false. +zstandard_level: @[ZSTANDARD_LEVEL] ideflate: @[IDEFLATE] -quantize_mode: 'quantize_bitround' -quantize_nsd: @[QUANTIZE_NSD] +nbits: 0 +ichunk2d: -1 +jchunk2d: -1 +ichunk3d: -1 +jchunk3d: -1 +kchunk3d: -1 quilting: @[QUILTING] +# +# Write-component (quilting) computational parameters. +# write_groups: @[WRITE_GROUP] write_tasks_per_group: @[WRTTASK_PER_GROUP] -num_files: @[NUM_FILES] -filename_base: @[FILENAME_BASE] -output_file: @[OUTPUT_FILE] -output_fh: @[OUTPUT_FH] -output_grid: @[OUTPUT_GRID] - -cen_lon: @[CEN_LON] -cen_lat: @[CEN_LAT] -stdlat1: @[STDLAT1] -stdlat2: @[STDLAT2] -nx: @[NX] -ny: @[NY] -lon1: @[LON1] -lat1: @[LAT1] -dx: @[DX] -dy: @[DY] - +num_files: 2 +filename_base: 'dyn' 'phy' +output_file: 'netcdf' 'netcdf' +# +# Write-component output frequency parameter definitions: +# +# output_fh: Output frequency in hours. +# nsout: Output frequency in time steps (positive values override "output_fh"). +# +output_fh: @[OUTPUT_FH] +nsout: -1 +# +# Coordinate system used by the output grid. +# +output_grid: 'rotated_latlon' +# +# Parameter definitions for an output grid of type "rotated_latlon": +# +# cen_lon: Longitude of center of grid, expressed in the NON-ROTATED latlon coordinate +# system (degrees). This is also the longitude of the point at which the +# equator and prime meridian of the ROTATED coordinate system intersect (i.e. +# the point at which the longitude and latitude in the ROTATED latlon +# coordinate system are both 0). +# cen_lat: Latitude of center of grid, expressed in the NON-ROTATED latlon coordinate +# system (degrees). This is also the latitude of the point at which the +# equator and prime meridian of the ROTATED coordinate system intersect (i.e. +# the point at which the longitude and latitude in the ROTATED latlon +# coordinate system are both 0). +# lon1: Longitude of center of lower-left grid cell, expressed in the ROTATED latlon +# coordinate system (degrees). +# lat1: Latitude of center of lower-left grid cell, expressed in the ROTATED latlon +# coordinate system (degrees). +# lon2: Longitude of center of upper-right grid cell, expressed in the ROTATED latlon +# coordinate system (degrees). +# lat2: Latitude of center of upper-right grid cell, expressed in the ROTATED latlon +# coordinate system (degrees). +# dlon: Longitudinal grid size in the ROTATED latlon coordinate system (degrees). +# dlat: Latitudinal grid size in the ROTATED latlon coordinate system (degrees). +# +cen_lon: -118.0 +cen_lat: 50.0 +lon1: -45.25 +lat1: -28.5 +lon2: 45.25 +lat2: 28.5 +dlon: 0.116908139 +dlat: 0.116908139 diff --git a/tests/parm/regional_atmaq.nml.IN b/tests/parm/regional_atmaq.nml.IN index 0a5b3e3812..a58951796c 100644 --- a/tests/parm/regional_atmaq.nml.IN +++ b/tests/parm/regional_atmaq.nml.IN @@ -1,27 +1,57 @@ +&amip_interp_nml + data_set = 'reynolds_oi' + date_out_of_range = 'climo' + interp_oi_sst = .true. + no_anom_sst = .false. + use_ncep_ice = .false. + use_ncep_sst = .true. +/ + &atmos_model_nml - blocksize = 29 + blocksize = 16 ccpp_suite = '@[CCPP_SUITE]' chksum_debug = .false. dycore_only = .false. / +&cires_ugwp_nml + knob_ugwp_azdir = 2, 4, 4, 4 + knob_ugwp_doaxyz = 1 + knob_ugwp_doheat = 1 + knob_ugwp_dokdis = 1 + knob_ugwp_effac = 1, 1, 1, 1 + knob_ugwp_ndx4lh = 1 + knob_ugwp_solver = 2 + knob_ugwp_source = 1, 1, 0, 0 + knob_ugwp_stoch = 0, 0, 0, 0 + knob_ugwp_version = 0 + knob_ugwp_wvspec = 1, 25, 25, 25 + launch_level = 27 +/ + &diag_manager_nml - max_output_fields = @[MAX_OUTPUT_FIELDS] + max_output_fields = 450 prepend_date = .false. / -&fms_nml - clock_grain = 'ROUTINE' - domains_stack_size = 12000000 - print_memory_usage = .false. +&external_ic_nml + checker_tr = .false. + filtered_terrain = .true. + gfs_dwinds = .true. + levp = 65 + nt_checker = 0 / -&fv_grid_nml - grid_file = 'INPUT/grid_spec.nc' +&fms_io_nml + checksum_required = .false. + max_files_r = 100 + max_files_w = 100 / -&fms2_io_nml - netcdf_default_format = "netcdf4" +&fms_nml + clock_grain = 'ROUTINE' + domains_stack_size = 12000000 + print_memory_usage = .false. / &fv_core_nml @@ -33,31 +63,31 @@ consv_am = .false. consv_te = 0.0 d2_bg = 0.0 - d2_bg_k1 = 0.15 - d2_bg_k2 = 0.02 + d2_bg_k1 = 0.2 + d2_bg_k2 = 0.0 d4_bg = 0.12 d_con = 1.0 d_ext = 0.0 dddmp = 0.1 - delt_max = 0.008 - dnats = @[DNATS] + delt_max = 0.002 + dnats = 5 do_sat_adj = .true. do_schmidt = .true. do_vort_damp = .true. dwind_2d = .false. - dz_min = 2 + dz_min = 6 external_eta = .true. - external_ic = @[EXTERNAL_IC] + external_ic = .true. fill = .true. full_zs_filter = .false. fv_debug = .false. - fv_sg_adj = 600 + fv_sg_adj = 450 gfs_phil = .false. - hord_dp = 6 - hord_mt = 6 - hord_tm = 6 - hord_tr = 10 - hord_vt = 6 + hord_dp = -5 + hord_mt = 5 + hord_tm = 5 + hord_tr = 8 + hord_vt = 5 hydrostatic = .false. io_layout = 1, 1 k_split = 1 @@ -66,22 +96,23 @@ kord_tm = -9 kord_tr = 9 kord_wz = 9 - layout = @[INPES],@[JNPES] - make_nh = @[MAKE_NH] - mountain = @[MOUNTAIN] + layout = @[INPES], @[JNPES] + make_nh = .false. + mountain = .false. n_split = 8 - n_sponge = 30 + n_sponge = 10 n_zs_filter = 0 - na_init = @[NA_INIT] + na_init = 0 ncep_ic = .false. - nggps_ic = @[NGGPS_IC] + nggps_ic = .true. no_dycore = .false. - nord = 3 - npx = @[NPX] - npy = @[NPY] - npz = @[NPZ] + nord = 2 + npx = 801 + npy = 545 + npz = 64 nrows_blend = 0 - ntiles = @[NTILES] + ntiles = 1 + nudge_dz = .false. nudge_qv = .true. nwat = 6 p_fac = 0.1 @@ -89,32 +120,27 @@ print_freq = 6 psm_bc = 1 range_warn = .false. - read_increment = @[READ_INCREMENT] + read_increment = .false. regional = .true. regional_bcs_from_gsi = .false. - res_latlon_dynamics = @[RES_LATLON_DYNAMICS] + res_latlon_dynamics = '' reset_eta = .false. rf_cutoff = 750.0 stretch_fac = 0.999 - target_lat = 38.5 - target_lon = -97.5 - tau = 5.0 + target_lat = 50.0 + target_lon = -118.0 + tau = 10.0 use_hydro_pressure = .false. - vtdm4 = 0.075 - warm_start = @[WARM_START] + vtdm4 = 0.02 + warm_start = .false. write_restart_with_bcs = .false. z_tracer = .true. / -&external_ic_nml - checker_tr = .false. - filtered_terrain = .true. - gfs_dwinds = .true. - levp = @[NPZP] - nt_checker = 0 +&fv_grid_nml + grid_file = 'INPUT/grid_spec.nc' / - &gfdl_cloud_microphysics_nml c_cracw = 0.8 c_paut = 0.5 @@ -135,7 +161,7 @@ fix_negative = .true. icloud_f = 1 mono_prof = .true. - mp_time = 90.0 + mp_time = 150.0 prog_ccn = .false. qi0_crt = 8e-05 qi_lim = 1.0 @@ -145,6 +171,7 @@ rad_graupel = .true. rad_rain = .true. rad_snow = .true. + reiflag = 2 rh_inc = 0.3 rh_inr = 0.3 rh_ins = 0.3 @@ -166,48 +193,57 @@ &gfs_physics_nml cal_pre = .false. - cdmbgwd = @[CDMBWD] - cnvcld = @[CNVCLD] + cdmbgwd = 4.0, 0.15, 1.0, 1.0 + cnvcld = .true. cnvgwd = .true. - cplaqm = @[AQM] - cplchm = @[CPLCHM] - cplwav = @[CPLWAV] - cplwav2atm = @[CPLWAV2ATM] + cplaqm = .true. cplocn2atm = .false. debug = .false. - do_myjpbl = .false. - do_myjsfc = .false. - do_RRTMGP = @[DO_RRTMGP] - do_shum = @[DO_SHUM] - do_skeb = @[DO_SKEB] + do_shum = .false. + do_skeb = .false. do_spp = .false. - do_sppt = @[DO_SPPT] - do_tofd = .false. + do_sppt = .false. + do_tofd = .true. do_ugwp = .false. - do_ysu = .false. - doGP_cldoptics_LUT = @[DOGP_CLDOPTICS_LUT] - doGP_lwscat = @[DOGP_LWSCAT] dspheat = .true. effr_in = .true. - fhcyc = @[FHCYC] + fhcyc = 0 fhlwr = 3600.0 fhswr = 3600.0 - fhzero = @[FHZERO] - fscav_aero = @[FSCAV_AERO] + fhzero = 1.0 + fscav_aero = 'aacd:0.0', 'acet:0.0', 'acrolein:0.0', 'acro_primary:0.0', + 'ald2:0.0', 'ald2_primary:0.0', 'aldx:0.0', 'benzene:0.0', + 'butadiene13:0.0', 'cat1:0.0', 'cl2:0.0', 'clno2:0.0', + 'co:0.0', 'cres:0.0', 'cron:0.0', 'ech4:0.0', 'epox:0.0', + 'eth:0.0', 'etha:0.0', 'ethy:0.0', 'etoh:0.0', 'facd:0.0', + 'fmcl:0.0', 'form:0.0', 'form_primary:0.0', 'gly:0.0', + 'glyd:0.0', 'h2o2:0.0', 'hcl:0.0', 'hg:0.0', 'hgiigas:0.0', + 'hno3:0.0', 'hocl:0.0', 'hono:0.0', 'hpld:0.0', 'intr:0.0', + 'iole:0.0', 'isop:0.0', 'ispd:0.0', 'ispx:0.0', 'ket:0.0', + 'meoh:0.0', 'mepx:0.0', 'mgly:0.0', 'n2o5:0.0', 'naph:0.0', + 'no:0.0', 'no2:0.0', 'no3:0.0', 'ntr1:0.0', 'ntr2:0.0', + 'o3:0.0', 'ole:0.0', 'opan:0.0', 'open:0.0', 'opo3:0.0', + 'pacd:0.0', 'pan:0.0', 'panx:0.0', 'par:0.0', 'pcvoc:0.0', + 'pna:0.0', 'prpa:0.0', 'rooh:0.0', 'sesq:0.0', 'so2:0.0', + 'soaalk:0.0', 'sulf:0.0', 'terp:0.0', 'tol:0.0', 'tolu:0.0', + 'vivpo1:0.0', 'vlvoo1:0.0', 'vlvoo2:0.0', 'vlvpo1:0.0', + 'vsvoo1:0.0', 'vsvoo2:0.0', 'vsvoo3:0.0', 'vsvpo1:0.0', + 'vsvpo2:0.0', 'vsvpo3:0.0', 'xopn:0.0', 'xylmn:0.0', '*:0.2' h2o_phys = .true. - hybedmf = .true. - iaer = @[IAER] + hybedmf = .false. + iaer = 5111 ialb = 1 iau_inc_files = '' + icliq_sw = 2 ico2 = 2 iems = 1 - imfdeepcnv = @[IMFDEEPCNV] - imfshalcnv = @[IMFSHALCNV] + imfdeepcnv = 2 + imfshalcnv = 2 imp_physics = 11 iopt_alb = 2 iopt_btr = 1 iopt_crs = 1 - iopt_dveg = 2 + iopt_dveg = 1 iopt_frz = 1 iopt_inf = 1 iopt_rad = 1 @@ -216,22 +252,23 @@ iopt_snf = 4 iopt_stc = 1 iopt_tbot = 2 - iovr = @[IOVR] + iopt_trs = 2 + iovr = 3 + isatmedmf = 1 isol = 2 isot = 1 isubc_lw = 2 isubc_sw = 2 ivegsrc = 1 - ldiag3d = @[LDIAG3D] + ldiag3d = .false. ldiag_ugwp = .false. lgfdlmprad = .true. - lheatstrg = @[LHEATSTRG] - lndp_type = @[LNDP_TYPE] - lseaspray = @[LSEASPRAY] + lheatstrg = .true. + lndp_type = 0 lsm = 1 lsoil = 4 lwhtr = .true. - n_var_lndp = @[N_VAR_LNDP] + n_var_lndp = 0 n_var_spp = 0 nsfullradar_diag = 3600 nst_anl = .true. @@ -239,87 +276,53 @@ oz_phys = .false. oz_phys_2015 = .true. pdfcld = .false. + prautco = 0.00015, 0.00015 pre_rad = .false. - print_diff_pgr = @[PRINT_DIFF_PGR] + print_diff_pgr = .false. prslrd0 = 0.0 - qdiag3d = @[QDIAG3D] - random_clds = @[RANDOM_CLDS] - ras = @[RAS] + psautco = 0.0008, 0.0005 + random_clds = .false. redrag = .true. - satmedmf = .false. + satmedmf = .true. + sfclay_compute_flux = .false. shal_cnv = .true. - shinhong = .false. swhtr = .true. trans_trac = .true. use_ufo = .true. - xkzm_h = 1.0 - xkzm_m = 1.0 - xkzminv = 0.3 / &interpolator_nml interp_method = 'conserve_great_circle' / +&mpp_io_nml + deflate_level = 1 + shuffle = 1 +/ + &nam_sfcperts - lndp_type = @[LNDP_TYPE] - lndp_model_type = @[LNDP_MODEL_TYPE] - LNDP_TAU=21600, - LNDP_LSCALE=500000, - ISEED_LNDP=2010, - lndp_var_list = @[LNDP_VAR_LIST] - lndp_prt_list = @[LNDP_PRT_LIST] / -&nam_stochy - STOCHINI=@[STOCHINI], - SKEBNORM=1, - SKEB_NPASS=30, - SKEB_VDOF=5, - SKEB=@[SKEB], - SKEB_TAU=2.16E4, - SKEB_LSCALE=1000.E3, - SKEBINT=1800, - SHUM=@[SHUM], - SHUM_TAU=21600, - SHUM_LSCALE=500000, - SHUMINT=3600, - SPPT=@[SPPT], - SPPT_TAU=21600, - SPPT_LSCALE=500000, - SPPT_LOGIT=.TRUE., - SPPT_SFCLIMIT=.TRUE., - SPPTINT=1800, - ISEED_SHUM=1, - ISEED_SKEB=2, - ISEED_SPPT=3, +&nam_sppperts / +&nam_stochy +/ &namsfc fabsl = 99999 faisl = 99999 faiss = 99999 - fnabsc = @[FNABSC] fnacna = '' - fnaisc = 'CFSR.SEAICE.1982.2012.monthly.clim.grb' - fnalbc = @[FNALBC] - fnalbc2 = 'C775.facsf.tileX.nc' - fnglac = 'global_glacier.2x2.grb' - fnmskh = 'seaice_newland.grb' - fnmxic = 'global_maxice.2x2.grb' - fnslpc = 'C775.slope_type.tileX.nc' - fnsmcc = @[FNSMCC] + fnaisc = 'fix_am/CFSR.SEAICE.1982.2012.monthly.clim.grb' + fnglac = 'fix_am/global_glacier.2x2.grb' + fnmskh = 'fix_am/seaice_newland.grb' + fnmxic = 'fix_am/global_maxice.2x2.grb' + fnsmcc = 'fix_am/global_soilmgldas.t126.384.190.grb' fnsnoa = '' - fnsnoc = 'global_snoclim.1.875.grb' - fnsotc = @[FNSOTC] - fntg3c = 'C775.substrate_temperature.tileX.nc' + fnsnoc = 'fix_am/global_snoclim.1.875.grb' fntsfa = '' - fntsfc = 'RTGSST.1982.2012.monthly.clim.grb' - fnvegc = 'C775.vegetation_greenness.tileX.nc' - fnvetc = @[FNVETC] - fnvmnc = 'C775.vegetation_greenness.tileX.nc' - fnvmxc = 'C775.vegetation_greenness.tileX.nc' + fntsfc = 'fix_am/RTGSST.1982.2012.monthly.clim.grb' fnzorc = 'igbp' fsicl = 99999 fsics = 99999 @@ -337,17 +340,18 @@ ldebug = .false. / -&cires_ugwp_nml - knob_ugwp_solver = 2 - knob_ugwp_source = 1,1,0,0 - knob_ugwp_wvspec = 1,25,25,25 - knob_ugwp_azdir = 2,4,4,4 - knob_ugwp_stoch = 0,0,0,0 - knob_ugwp_effac = 1,1,1,1 - knob_ugwp_doaxyz = 1 - knob_ugwp_doheat = 1 - knob_ugwp_dokdis = 1 - knob_ugwp_ndx4lh = 1 - knob_ugwp_version = 0 - launch_level = 54 +&namsfc_dict + fnabsc = 'fix_lam/C793.maximum_snow_albedo.tileX.nc' + fnalbc = 'fix_lam/C793.snowfree_albedo.tileX.nc' + fnalbc2 = 'fix_lam/C793.facsf.tileX.nc' + fnslpc = 'fix_lam/C793.slope_type.tileX.nc' + fnsotc = 'fix_lam/C793.soil_type.tileX.nc' + fntg3c = 'fix_lam/C793.substrate_temperature.tileX.nc' + fnvegc = 'fix_lam/C793.vegetation_greenness.tileX.nc' + fnvetc = 'fix_lam/C793.vegetation_type.tileX.nc' + fnvmnc = 'fix_lam/C793.vegetation_greenness.tileX.nc' + fnvmxc = 'fix_lam/C793.vegetation_greenness.tileX.nc' +/ + +&surf_map_nml / diff --git a/tests/parm/ufs.configure.atmaq.IN b/tests/parm/ufs.configure.atmaq.IN index 7c725ec158..c6188664f1 100644 --- a/tests/parm/ufs.configure.atmaq.IN +++ b/tests/parm/ufs.configure.atmaq.IN @@ -1,15 +1,16 @@ ############################################# -#### UFS Run-Time Configuration File ###### +#### UFS Run-Time Configuration File ##### ############################################# # ESMF # -logKindFlag: ESMF_LOGKIND_MULTI +logKindFlag: ESMF_LOGKIND_MULTI_ON_ERROR globalResourceControl: true + # EARTH # EARTH_component_list: ATM AQM EARTH_attributes:: - Verbosity = max + Verbosity = 0 :: # ATM # @@ -26,6 +27,7 @@ AQM_petlist_bounds: @[aqm_petlist_bounds] AQM_omp_num_threads: @[aqm_omp_num_threads] AQM_attributes:: Verbosity = 0 + Diagnostic = 0 :: # Run Sequence # diff --git a/tests/rt.conf b/tests/rt.conf index adf8616a08..330f3f556f 100644 --- a/tests/rt.conf +++ b/tests/rt.conf @@ -313,7 +313,7 @@ RUN | control_p8_atmlnd_debug | - noaacloud wcoss2 ### ATM-WAV tests ### #mediator (cmeps) -COMPILE | atmw | intel | -DAPP=ATMW -DCCPP_SUITES=FV3_GFS_v17_p8 -D32BIT=ON | - wcoss2 | fv3 | +COMPILE | atmw | intel | -DAPP=ATMW -DCCPP_SUITES=FV3_GFS_v17_p8 -D32BIT=ON | - wcoss2 | fv3 | RUN | atmwav_control_noaero_p8 | - wcoss2 | baseline | ### ATM-GOCART tests ### @@ -323,11 +323,11 @@ RUN | atmaero_control_p8_rad | - noaacloud RUN | atmaero_control_p8_rad_micro | - noaacloud | baseline | ### ATM-CMAQ tests ### -#COMPILE | atmaq | intel | -DAPP=ATMAQ -DCCPP_SUITES=FV3_GFS_v15p2 -D32BIT=ON | - jet s4 | fv3 | -#RUN | regional_atmaq | - jet s4 | baseline | +COMPILE | atmaq | intel | -DAPP=ATMAQ -DCCPP_SUITES=FV3_GFS_v16 -D32BIT=ON | - jet s4 | fv3 | +RUN | regional_atmaq_v16 | - jet s4 | baseline | -COMPILE | atmaq_debug | intel | -DAPP=ATMAQ -DCCPP_SUITES=FV3_GFS_v15p2 -DDEBUG=ON -D32BIT=ON | - jet noaacloud s4 | fv3 | -RUN | regional_atmaq_debug | - jet s4 noaacloud | baseline | +COMPILE | atmaq_debug | intel | -DAPP=ATMAQ -DCCPP_SUITES=FV3_GFS_v16 -DDEBUG=ON -D32BIT=ON | - jet noaacloud s4 | fv3 | +RUN | regional_atmaq_v16_debug | - jet s4 noaacloud | baseline | ### GNU TESTS ### ### CCPP PROD tests ### diff --git a/tests/test_changes.list b/tests/test_changes.list index 9a9f2072a5..531f87cdc1 100644 --- a/tests/test_changes.list +++ b/tests/test_changes.list @@ -1,3 +1,2 @@ -atm_ds2s_docn_pcice intel -atm_ds2s_docn_dice intel -gnv1_nested intel +regional_atmaq_v16 intel +regional_atmaq_v16_debug intel diff --git a/tests/tests/regional_atmaq b/tests/tests/regional_atmaq deleted file mode 100644 index df4603f299..0000000000 --- a/tests/tests/regional_atmaq +++ /dev/null @@ -1,104 +0,0 @@ -############################################################################### -# -# regional aqm test: GFSv15p2 atmosphere with aqm (CMAQ) C96L64 -# -############################################################################### - -export TEST_DESCR="AQM (CMAQ) regional test for comparison of results with previous trunk version" - -export CNTL_DIR=regional_atmaq - -export LIST_FILES="sfcf000.nc \ - sfcf003.nc \ - sfcf006.nc \ - atmf000.nc \ - atmf003.nc \ - atmf006.nc \ - RESTART/20190801.180000.coupler.res \ - RESTART/20190801.180000.fv_core.res.nc \ - RESTART/20190801.180000.fv_core.res.tile1.nc \ - RESTART/20190801.180000.fv_srf_wnd.res.tile1.nc \ - RESTART/20190801.180000.fv_tracer.res.tile1.nc \ - RESTART/20190801.180000.phy_data.nc \ - RESTART/20190801.180000.sfc_data.nc" - -export_fv3_v16 - -export SYEAR=2019 -export SMONTH=08 -export SDAY=1 -export SHOUR=12 -export FHMAX=6 -export DT_ATMOS=180 -export WRTTASK_PER_GROUP=8 -export OUTPUT_GRID='lambert_conformal' -export NSTF_NAME='2,0,0,0,0' -export IAER=5111 -export IOVR=3 -export OUTPUT_FH='0 3 6' - -#AQM Specifics -#NPZ input.nml 64 -#DNATS input.nml 4 -#RES_LATLON_DYNAMICS input.nml 'fv3_increment.nc' -#fhzero = 1.0 input.nml -#fhcyc = 0 input.nml -#cdmbgwd = 3.5, 0.25 input.nml -export AQM=.true. -export MAX_OUTPUT_FIELDS=450 -export OUTPUT_GRID='lambert_conformal' -export CEN_LON=-97.5 -export CEN_LAT=38.5 -export LON1=-121.70231097 -export LAT1=22.57417972 -export STDLAT1=38.5 -export STDLAT2=38.5 -export NPX=397 -export NPY=233 -export NX=393 -export NY=225 -export DX=13000.0 -export DY=13000.0 -export FNALBC="'C775.snowfree_albedo.tileX.nc'" -export FNVETC="'C775.vegetation_type.tileX.nc'" -export FNSOTC="'C775.soil_type.tileX.nc'" -export FNABSC="'C775.maximum_snow_albedo.tileX.nc'" - -export INPES=${INPES_aqm} -export JNPES=${JNPES_aqm} -export NTILES=1 -export atm_model=fv3 -export aqm_model=aqm - -export FIELD_TABLE=field_table_aqm -export DIAG_TABLE=diag_table_aqm -export DNATS=5 -export FSCAV_AERO="'aacd:0.0', 'acet:0.0', 'acrolein:0.0', 'acro_primary:0.0', - 'ald2:0.0', 'ald2_primary:0.0', 'aldx:0.0', 'benzene:0.0', - 'butadiene13:0.0', 'cat1:0.0', 'cl2:0.0', 'clno2:0.0', - 'co:0.0', 'cres:0.0', 'cron:0.0', 'ech4:0.0', 'epox:0.0', - 'eth:0.0', 'etha:0.0', 'ethy:0.0', 'etoh:0.0', 'facd:0.0', - 'fmcl:0.0', 'form:0.0', 'form_primary:0.0', 'gly:0.0', - 'glyd:0.0', 'h2o2:0.0', 'hcl:0.0', 'hg:0.0', 'hgiigas:0.0', - 'hno3:0.0', 'hocl:0.0', 'hono:0.0', 'hpld:0.0', 'intr:0.0', - 'iole:0.0', 'isop:0.0', 'ispd:0.0', 'ispx:0.0', 'ket:0.0', - 'meoh:0.0', 'mepx:0.0', 'mgly:0.0', 'n2o5:0.0', 'naph:0.0', - 'no:0.0', 'no2:0.0', 'no3:0.0', 'ntr1:0.0', 'ntr2:0.0', - 'o3:0.0', 'ole:0.0', 'opan:0.0', 'open:0.0', 'opo3:0.0', - 'pacd:0.0', 'pan:0.0', 'panx:0.0', 'par:0.0', 'pcvoc:0.0', - 'pna:0.0', 'prpa:0.0', 'rooh:0.0', 'sesq:0.0', 'so2:0.0', - 'soaalk:0.0', 'sulf:0.0', 'terp:0.0', 'tol:0.0', 'tolu:0.0', - 'vivpo1:0.0', 'vlvoo1:0.0', 'vlvoo2:0.0', 'vlvpo1:0.0', - 'vsvoo1:0.0', 'vsvoo2:0.0', 'vsvoo3:0.0', 'vsvpo1:0.0', - 'vsvpo2:0.0', 'vsvpo3:0.0', 'xopn:0.0', 'xylmn:0.0', '*:0.2'" -export INPUT_NML=regional_atmaq.nml.IN -export MODEL_CONFIGURE=model_configure_atmaq.IN -export UFS_CONFIGURE=ufs.configure.atmaq.IN -export FV3_RUN=fv3_aqm.IN -export CCPP_SUITE=FV3_GFS_v15p2 - -if [[ $MACHINE_ID = hera ]]; then - TPN=30 -elif [[ $MACHINE_ID = gaea ]]; then - TPN=16 -fi diff --git a/tests/tests/regional_atmaq_debug b/tests/tests/regional_atmaq_debug deleted file mode 100644 index 7cfe2f8489..0000000000 --- a/tests/tests/regional_atmaq_debug +++ /dev/null @@ -1,103 +0,0 @@ -############################################################################### -# -# regional aqm test: GFSv15p2 atmosphere with aqm (CMAQ) in DEBUG mode C96L64 -# -############################################################################### - -export TEST_DESCR="AQM (CMAQ) regional test for comparison in debug mode of results with previous trunk version" - -export CNTL_DIR=regional_atmaq_debug - -export LIST_FILES="sfcf000.nc \ - sfcf001.nc \ - atmf000.nc \ - atmf001.nc \ - RESTART/20190801.130000.coupler.res \ - RESTART/20190801.130000.fv_core.res.nc \ - RESTART/20190801.130000.fv_core.res.tile1.nc \ - RESTART/20190801.130000.fv_srf_wnd.res.tile1.nc \ - RESTART/20190801.130000.fv_tracer.res.tile1.nc \ - RESTART/20190801.130000.phy_data.nc \ - RESTART/20190801.130000.sfc_data.nc" - -export_fv3_v16 - -export SYEAR=2019 -export SMONTH=08 -export SDAY=1 -export SHOUR=12 -export FHMAX=1 -export DT_ATMOS=180 -export WRTTASK_PER_GROUP=8 -export OUTPUT_GRID='lambert_conformal' -export NSTF_NAME='2,0,0,0,0' -export IAER=5111 -export IOVR=3 -export OUTPUT_FH='0 1' - -#AQM Specifics -#NPZ input.nml 64 -#DNATS input.nml 4 -#RES_LATLON_DYNAMICS input.nml 'fv3_increment.nc' -#fhzero = 1.0 input.nml -#fhcyc = 0 input.nml -#cdmbgwd = 3.5, 0.25 input.nml -export AQM=.true. -export MAX_OUTPUT_FIELDS=450 -export OUTPUT_GRID='lambert_conformal' -export CEN_LON=-97.5 -export CEN_LAT=38.5 -export LON1=-121.70231097 -export LAT1=22.57417972 -export STDLAT1=38.5 -export STDLAT2=38.5 -export NPX=397 -export NPY=233 -export NX=393 -export NY=225 -export DX=13000.0 -export DY=13000.0 -export FNALBC="'C775.snowfree_albedo.tileX.nc'" -export FNVETC="'C775.vegetation_type.tileX.nc'" -export FNSOTC="'C775.soil_type.tileX.nc'" -export FNABSC="'C775.maximum_snow_albedo.tileX.nc'" - -export INPES=${INPES_aqm} -export JNPES=${JNPES_aqm} -export NTILES=1 -export atm_model=fv3 -export aqm_model=aqm - -export FIELD_TABLE=field_table_aqm -export DIAG_TABLE=diag_table_aqm -export DNATS=5 -export FSCAV_AERO="'aacd:0.0', 'acet:0.0', 'acrolein:0.0', 'acro_primary:0.0', - 'ald2:0.0', 'ald2_primary:0.0', 'aldx:0.0', 'benzene:0.0', - 'butadiene13:0.0', 'cat1:0.0', 'cl2:0.0', 'clno2:0.0', - 'co:0.0', 'cres:0.0', 'cron:0.0', 'ech4:0.0', 'epox:0.0', - 'eth:0.0', 'etha:0.0', 'ethy:0.0', 'etoh:0.0', 'facd:0.0', - 'fmcl:0.0', 'form:0.0', 'form_primary:0.0', 'gly:0.0', - 'glyd:0.0', 'h2o2:0.0', 'hcl:0.0', 'hg:0.0', 'hgiigas:0.0', - 'hno3:0.0', 'hocl:0.0', 'hono:0.0', 'hpld:0.0', 'intr:0.0', - 'iole:0.0', 'isop:0.0', 'ispd:0.0', 'ispx:0.0', 'ket:0.0', - 'meoh:0.0', 'mepx:0.0', 'mgly:0.0', 'n2o5:0.0', 'naph:0.0', - 'no:0.0', 'no2:0.0', 'no3:0.0', 'ntr1:0.0', 'ntr2:0.0', - 'o3:0.0', 'ole:0.0', 'opan:0.0', 'open:0.0', 'opo3:0.0', - 'pacd:0.0', 'pan:0.0', 'panx:0.0', 'par:0.0', 'pcvoc:0.0', - 'pna:0.0', 'prpa:0.0', 'rooh:0.0', 'sesq:0.0', 'so2:0.0', - 'soaalk:0.0', 'sulf:0.0', 'terp:0.0', 'tol:0.0', 'tolu:0.0', - 'vivpo1:0.0', 'vlvoo1:0.0', 'vlvoo2:0.0', 'vlvpo1:0.0', - 'vsvoo1:0.0', 'vsvoo2:0.0', 'vsvoo3:0.0', 'vsvpo1:0.0', - 'vsvpo2:0.0', 'vsvpo3:0.0', 'xopn:0.0', 'xylmn:0.0', '*:0.2'" -export INPUT_NML=regional_atmaq.nml.IN -export MODEL_CONFIGURE=model_configure_atmaq.IN -export UFS_CONFIGURE=ufs.configure.atmaq.IN -export FV3_RUN=fv3_aqm.IN -export CCPP_SUITE=FV3_GFS_v15p2 - -if [[ $MACHINE_ID = hera ]]; then - TPN=30 - WLCLK=45 -elif [[ $MACHINE_ID = gaea ]]; then - TPN=16 -fi diff --git a/tests/tests/regional_atmaq_v16 b/tests/tests/regional_atmaq_v16 new file mode 100644 index 0000000000..dfb6a2f639 --- /dev/null +++ b/tests/tests/regional_atmaq_v16 @@ -0,0 +1,53 @@ +############################################################################### +# +# regional aqm test: GFSv16 atmosphere with aqm (CMAQ) C96L64 +# +############################################################################### + +export TEST_DESCR="AQM (CMAQ) regional test for comparison of results with previous trunk version" + +export CNTL_DIR=regional_atmaq_v16 + +export LIST_FILES="dynf000.nc \ + dynf003.nc \ + dynf006.nc \ + phyf000.nc \ + phyf003.nc \ + phyf006.nc \ + RESTART/20231110.060000.coupler.res \ + RESTART/20231110.060000.fv_core.res.nc \ + RESTART/20231110.060000.fv_core.res.tile1.nc \ + RESTART/20231110.060000.fv_srf_wnd.res.tile1.nc \ + RESTART/20231110.060000.fv_tracer.res.tile1.nc \ + RESTART/20231110.060000.phy_data.nc \ + RESTART/20231110.060000.sfc_data.nc" + +export_fv3_v16 +export SYEAR=2023 +export SMONTH=11 +export SDAY=10 +export SHOUR=00 +export FHMAX=6 +export DT_ATMOS=180 +export WRITE_GROUP=2 +export WRTTASK_PER_GROUP=23 +export OUTPUT_FH='0 3 6' + +export AQM=.true. +export QUILTING=.true. +export WLCLK=40 + +export INPES=${INPES_aqm} +export JNPES=${JNPES_aqm} +export NTILES=1 +export atm_model=fv3 +export aqm_model=aqm + +export FIELD_TABLE=field_table_aqm +export DIAG_TABLE=diag_table_aqm +export INPUT_NML=regional_atmaq.nml.IN +export MODEL_CONFIGURE=model_configure_atmaq.IN +export UFS_CONFIGURE=ufs.configure.atmaq.IN +export FV3_RUN=fv3_aqm.IN +export CCPP_SUITE=FV3_GFS_v16 + diff --git a/tests/tests/regional_atmaq_v16_debug b/tests/tests/regional_atmaq_v16_debug new file mode 100644 index 0000000000..327bf425bf --- /dev/null +++ b/tests/tests/regional_atmaq_v16_debug @@ -0,0 +1,44 @@ +############################################################################### +# +# regional aqm debug test: GFSv16 atmosphere with aqm (CMAQ) C96L64 +# +############################################################################### + +export TEST_DESCR="AQM (CMAQ) regional debug test for comparison of results with previous trunk version" + +export CNTL_DIR=regional_atmaq_v16_debug + +export LIST_FILES="dynf000.nc \ + dynf001.nc \ + phyf000.nc \ + phyf001.nc" + +export_fv3_v16 +export SYEAR=2023 +export SMONTH=11 +export SDAY=10 +export SHOUR=00 +export FHMAX=1 +export DT_ATMOS=180 +export WRITE_GROUP=2 +export WRTTASK_PER_GROUP=23 +export OUTPUT_FH='0 1' +export WLCLK=50 + +export AQM=.true. +export QUILTING=.true. + +export INPES=${INPES_aqm} +export JNPES=${JNPES_aqm} +export NTILES=1 +export atm_model=fv3 +export aqm_model=aqm + +export FIELD_TABLE=field_table_aqm +export DIAG_TABLE=diag_table_aqm +export INPUT_NML=regional_atmaq.nml.IN +export MODEL_CONFIGURE=model_configure_atmaq.IN +export UFS_CONFIGURE=ufs.configure.atmaq.IN +export FV3_RUN=fv3_aqm.IN +export CCPP_SUITE=FV3_GFS_v16 +