{"payload":{"header_redesign_enabled":false,"results":[{"id":"574898628","archived":false,"color":"#DAE1C2","followers":0,"has_funding_file":false,"hl_name":"strwdr/MaximatorZXSpectrum","hl_trunc_description":"ZX Spectrum implementation for maximator board","language":"SystemVerilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":574898628,"name":"MaximatorZXSpectrum","owner_id":24923694,"owner_login":"strwdr","updated_at":"2023-01-17T14:30:42.622Z","has_issues":true}},"sponsorable":false,"topics":["board","fpga","verilog","zx-spectrum","nios","hdl","soc","zx","max10","maximator"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":69,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Astrwdr%252FMaximatorZXSpectrum%2B%2Blanguage%253ASystemVerilog","metadata":null,"csrf_tokens":{"/strwdr/MaximatorZXSpectrum/star":{"post":"DpBLF4ZBITOx5rZEwSshFsmecHWasGWO9zkO9bE_IU9yuULEcVz01e2guCiRPIZkcCoU-a_9sMsrwMnp_4Gq7Q"},"/strwdr/MaximatorZXSpectrum/unstar":{"post":"MUK4LYhcyJr36aCMx72s8kDhJFsDJBvAZYEnEDLJefmuZwOkcxc_2S3vZIjZrniUJ9UfLbej7zrPCg7e-mxpOw"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"C2nqcpimkMhqQIveRx6nNQgOQ1zvarLpZUi74nf0vd1QrH68ReDQhJO4d-2Xw3jeomzRTToIM6D7fzki_p3m_g"}}},"title":"Repository search results"}