From 7adfb98fa69083f67853cd33701cd912a3835207 Mon Sep 17 00:00:00 2001 From: MahdiHaghverdi Date: Thu, 1 Jun 2023 16:47:28 +0330 Subject: [PATCH] write the last senario with its table --- expanded.tex | 2 +- oim.tex | 93 ++++++++++++++++++++++++++++++++++++++++++++++++-- reportdoc.pdf | Bin 1316258 -> 1318841 bytes 3 files changed, 91 insertions(+), 4 deletions(-) diff --git a/expanded.tex b/expanded.tex index 24baa1f..cf404df 100644 --- a/expanded.tex +++ b/expanded.tex @@ -336,7 +336,7 @@ \section{نمودار‌های تعامل کنشگر-سیستم}\label{expandeds % -------------------------------------------------------- -\step{{\small کاربر اطلاعات مورد نیاز را وارد می‌کند.}} & +\step{{\small کاربر اطلاعات مورد نیاز را وارد می‌کند و دکمه‌ی \say{ثبت‌نام} را کلیک می‌کند.}} & % -------------------------------------------------------- \renewcommand{\labelenumi}{\alph{enumi})} diff --git a/oim.tex b/oim.tex index db77f0f..8c709c6 100644 --- a/oim.tex +++ b/oim.tex @@ -629,15 +629,102 @@ \subsection{نمودار توالی} \clearpage \section{سناریو و مدل تعامل شئ برای گام ۶ از \uc{1}} \subsection{سناریو تعامل شئ برای \say{ثبت‌نام کاربر}} +\setcounter{MainStepCounter}{4} +\mainstep{کاربر اطلاعات را وارد کرده و به روی دکمه‌ی ثبت‌نام کلیک می‌کند} + +\beginmainstep{صفحه ثبت‌نام اطلاعات را به کنترل‌گر ثبت‌نام می‌فرستد.} + +\majorstep{کنترل‌گر اطلاعات را پردازش می‌کند} +\indent\patchstep{اگر ثبت‌نام موفقیت‌‌آمیز بود:} +\indent\indent\betastep{کنترل‌گر پیغام \say{ثبت‌نام موفقیت‌آمیز بود.} را در یک آبجکت \json می‌نویسد.} +\indent\indent\betastep{اطلاعات را برای \gdm می‌فرستد.} +\indent\indent\betastep{اگر کارجو بود:} +\indent\indent\indent\alphastep{اطلاعات را به پنل کاربری کارجو ارسال می‌کند} +\indent\indent\betastep{اگر کارفرما بود} +\indent\indent\indent\alphastep{اطلاعات را به پنل کاربری کارفرما ارسال می‌کند.} +\indent\patchstep{در غیر این صورت:} +\indent\indent\betastep{کنترل‌گر پیغام \say{ثبت‌نام انجام نشد.} را در یک آبجکت \json می‌نویسد.} +\indent\indent\betastep{اطلاعات را به صفحه‌ی اصلی ارسال می‌کند.} \subsection{جدول سناریو} \begin{table}[H] \caption{جدول سناریو \arabic{table}} \begin{adjustbox}{width=\textwidth} \begin{tabular}{|c|c|c|c|c|} \hline - \# & فاعل & کنش فاعل & دیگرداده‌ها/اشیا & شئ‌ای که کنش روی آن انجام می‌شود \\ - \hline - \hline +\# & فاعل & کنش فاعل & دیگرداده‌ها/اشیا & شئ‌ای که کنش روی آن انجام می‌شود \\ + \hline + \hline + \sstep & + کاربر & + کلیک می‌کند & + دکمه‌ی ثبت‌نام & + صفحه‌ی ثبت‌نام \\ + \hline +\sstep & +صفحه‌ی ثبت‌نام & +ارسال می‌کند & +اطلاعات صفحه‌ی ثبت‌نام & +به کنترل‌گر ثبت‌نام\\ +\hline +\sstep & +کنترل‌گر & +پردازش می‌کند & +اطلاعات ثبت‌نام & +\\ +\hline +\sstep & +\multicolumn{4}{|r|}{اگر ثبت‌نام مجاز بود} +\\ +\hline +\sstep & +کنترل‌گر ثبت‌نام& +ذخیره می‌کند & +پیغام \say{ثبت‌نام موفقیت‌آمیز بود}& +در آبجکت \json \\ +\hline +\sstep & +کنترل‌گر & +ارسال می‌کند & +اطلاعات ثبت‌نام & +به \gdm \\ +\hline +\sstep & +\multicolumn{4}{|r|}{اگر کارجو بود} +\\ +\hline +\sstep & +کنترل‌گر & +ارسال می‌کند & +اطلاعات و آبجکت \json & +پنل کاربری کارجو \\ +\hline +\sstep & +\multicolumn{4}{|r|}{اگر کارفرما بود} +\\ +\hline +\sstep & +کنترل‌گر & +ارسال می‌کند & +اطلاعات و آبجکت \json & +پنل کاربری کارفرما \\ +\hline +\sstep & +\multicolumn{4}{|r|}{اگر ثبت‌نام مجاز نبود} +\\ +\hline +\sstep & +کنترل‌گر & +ذخیره می‌کند & +پیغام \say{ثبت‌نام انجام نشد}& +در آبجکت \json \\ +\hline +\sstep & +کنترل‌گر & +ارسال می‌کند & +آبجکت \json & +صفحه‌ی اصلی \\ +\hline + \end{tabular} \end{adjustbox} \end{table} diff --git a/reportdoc.pdf b/reportdoc.pdf index 2d66e9343f6870050530f1d0843b6535754b073e..1d4011be68938c59e17f9210d412200086ffd255 100644 GIT binary patch delta 45755 zcmY&;Q+O^)v}C+v+qP{RJ9c(#+sPl>wr$(CZQFL{+_^6^5B1!u*4JIDtGWv+7210h z>N0{rSQ!(ug0KNIcg&=S?uvPEbN?c*BIs$?xhv^6UiF;IXs9;p<I4V&gF5>7obH z-?_eI7ixa0^Af&5(TIL0D&9kLyYCJ+@7&XKejl{HzcMR;f;b>5S6h_+au!xnfDg1^ zJm0N029ezS_CvW_Q9Lz9HxF6x;S2Vs+?6@t~iuiXH0<~r<0r0u0a?$bMx>sq(J zeSPFMfloIKlItd5e+#%IIm_15072zH-s$kaJZ?0kB(N33-IjxJPFsw0iUgey6KZbJ zqULX0xs_Jo51bu}BKDT{akX`*GjC=swd*z4vq7Jd%{a20XN4?i(A6dVpH{ZLvT@8RRmjpL+mGo)Qw1c#F@uf)RL zULfpn?OU^_>#v(j`*0K+yJazJZ!~3xg(}8Xg0YeMDQffKq+yLF@ZAv^`qE!(vkzsp z6NirbU@8Mr7?&W9G^N6HQGD&``w=H))|%Fj!3Y3vKW{{ju*>9Jjor+r+S72u+&-TD zRQh#s=_3%MIMCZrBwjdD=!2^mQ1{e|!8ElQ$=s15d$H&1IfOIxkxR7+;_;um-Xr%I zFJMbs(DiyR+)nyHT#XNk%eI7|gyKy2 z;SWH67^N{n$y9p}aWM00DtqoqMHxwFzFVmDm_>Q#QWi?sB;wd6@tziHq@Ora6vNwV zSpcb9I21=L&7Va@wEAa~=n|7rtyqw30jL*ksoc!Q3__(ZXyy(qypRjBy; zSdt(&$pI*wJDM16y}~*MQvaAM6>fURMFjv(20}U94vdv`QJ872KTiE~;GX!wLKsep zSK;>Qp}0?Ln<7RZ92jy^Qljbs)`XPdx8}h(1cK`_-M?w#So-;Y@^+oV0xk!>5K?Xw zJNmHFD*Fr-1H=d^eY0SMC~`r)+vEfNE9WkYKsnz2ls`zUa-mLBb? zJCJz>oX(*r=bGlp+5A}@^{vgg5X#&6C~=med(3I;wb_jIIkWOYDn&J3$R#L0eC8x1 zZM!9hWbOrhjG`E1C4~jZ%d2Q5$tnQHg@!zy)Sk4G1d?1HY98atkOQ9!=}N2+Ub)P@ zR>X3wC|)SYQvMqd?{S0~EoJ@lznbDAx-X;^C3rIF2q6QPhm)3R1u^Sxq*1m54X{o^ z2h{igGNSBWRp|O>aa^n+q(3#J4yf}%pav=pOVli3BrU#(2a-C{u6AV-#4!LPr~^nD z`St~@$#LsUXo|*6E@X3W&)!DsIU6Enr%{rPd@K-AUyU}-Z9?%`nWj1vtv6q}qRnLV zg~JUdxbYx}ZPxtz`$uwPt<{~E1Z zH5&O}qwfpC$XTIl4_=G7G65nem!o}=OU1^*g)^g(gWCsU+J@Flv<^z`2UsxD*57=? zi9Fl;LVo{RevM6z4}_&tI}K`I*Ur^v4XcKts$3F@l?ci7cPO?_u0dt1IHuYnuq^6e z48^q#B@GTZ9(|W}{%2)VV|{VlwuCfAVHEKK{XO$6<49Va(ZYoe5?nA@{f~6pKCcl3Js#(NG*i9t39G0TRUmO*OvtZo zZ`m<5k}H(Ys7sO*-YqqgG!z|`n#2fs|Bxq1oE2CDq$?K)6$!YUw_mF?QOgdbF`qxF zKaC_I4XeZZV|PdsK(Nq|j&*%EO21pdZ!$BQWwL*AFVx~=`}O?K7KL4=FK5Y~5eDk} zNobBpX#iEo&^KKpz67yDi1dSGRfJF0ptp1F43odw2qfE_Wm{k>`C1OaB6@t4*Gk4;U8&kunw~Wahr%} zQVnB^ZA`lgmqa3mhG*N3b%phFrnkbzDDGaj$5t5<2>BIAL#=D3jqz~;jWZDL;B^dSZ)`@2BRzqy8~Eg(ePNTAm{s#N|l&zg&{h5D4i zj8B!=3Q+_gL_sDC^?>~O6>Byaz( z!{&d-Y-&guZ^JMtLR>eP+!7iB3g-lQqQbHbhiE>X7sI5_Ln(Yq>n%u+qbpln4&-Df zqR0elHJx0QEv3E}SaT>Yv-&fyFI1W8Lo@xLZ8YUz>-;m7KKiHmwG6rTeX1jBa=(HF zyfS

&G|mK6ncV%{Y0C5yn@G@Lit!Zv8@xC*Ysd%ncJRXwGjF>!L{kGWdrsbnT%K zAmHRj<7yqFBxd!Z;=YRDNfjaDqt-dMIJh$(SE`QT?94OUI330{_mVD5;Yo1FUOq;OPFuV?eWt z7f0*DpJ2%82~keLMx9au?#P*)J$U=ru=e?kF%=(d8KL(-9qLsl#cAgIJ(=|cM}Ny3 zCmv>PK^Qv1hg+Ml(kpbJxYonw1E;Yg_ZvB3OOsz}jtsZ@#HhX{h22tUh4NdPsg7IdoVxghU(`Nr?o<&uxJ9I_{| zZBFwTy#iG!%i%>&7!!PdlR5Hfgu|C;-zAhHn$Mx3S(YI>zlXhluR{UAJ!u7~|0528 zM6sbnvB3WU+ioIpwrw5=+)eEegy39 zo_{Kh^mfq+{E}k;oiw9QZW_hMa8;)Sf}LzVxPCs4?Ry^DAC~s+_>Ka$JGSY93?X=P z(A;!c{~U614hMG}>W#mPIMlh(??o8tbn3M2y)Fu2N}&4X?&mqQj^d3hL^?9ApkU)@5N)5o>4ZfxzhR zIoG)pt!cZfPliS?bY}q;W$6B58&5%g-Hm@qYg?d;VQGFb9Z2)?KN4q|{ar_6Kuppc zUWc3|-`7p_n?6hi>ZOzQEKLQ#*mju-pB?)sVy7L|Ono(e)qCS10=b*A5sEnlAyXs4 zB3iO0iX#>qZwLkKyq=#r$8A8IlcsIHNZZ^k6BlOTpvLt%HH`rt%B|5_X!NQ{sf~=J z-g1cL<)l!}7AnNu2{}Re&~gqadGmaf_R-iuyZnH`Pl==#*P8?tT*!r=YFgasXUmnZ zy+&ZoX?P-7*~pl(JnXb`;s**Etz4gR5P3Njv=6h|Ko@p1nB5u|c*Qe6gHXKDY>OtZXDmn1ezS=wXuiQCT30RuF!$kXbAR^O{KQwI5+?OkxT04{n?DltOIf zb9_{nQfvTQwDJX-mbwhnR|B-}LWh>Yi|2;?cj{euSZxsWGM*YAhJC_R{iU7jeQggA z3k4`&bxL3=e1$HMaAy4U=De=m1*tV15rMFmb3)R9!VzEf!(PNJZOn00p=)QmpVh~? zFaIliK0p(oaYWbtn&k@0Hb}e8JnBJs((Xy;=k0Og#}k>M@Sy#$MC%!mV%fr?`J*_hDVQ?domCku}-#AS$# zKU&GBj}hMwwI=L_FQ0d2im`X~SvPbZ-$ow#`Ci}dw1g#gL2UBh&@uyYjdBm!I6#>N z*@RE6#^zI;dc4h1nNo9VCs4{_5^R+V!#n$WE-WCgn~m&&(!WQoHnHKJy(aZ0bG|$_ zWHn*@tw(qxmDg'b8vfG4X~WczK3*I$ura&8XCTZ2=tpgpN5C=vnHL7XFVw(Dg2 zK5ehqhnXgUNmMvav)1TKTt+U z8#Q6z>b~Z>O!;1Bsk2R7BIj2@nE>}JNS9o*1f%-XdAKOEM@==y^rr3;p#|3}*xV%* zFda(BD`L+XOuJr^#h216&(%4;M=JeG$x;ut9lMb8+if8lk1sA?`tQcM8jz`=(`zjZ zzDYZdjkIJ;7ge$rHF@;2FhZA9;-yA;eM3@`EjL|>P+-Ty@uRTW43S6Py&fY-mVPcg z=X$7!otT(_snmj*1UzzChGHf&ff^ew#OJy3n8Dn+(&mOep-Cd?o$0Usg^cgPZ2&6H$e0ioknZn&01^bb1aE%$3k zP&spD#o<)NAGVXDU)CY0E#A)!Usd|_zl;h!p3%XcHY{bWTjq3{lIFR}l1g)#VKoWu zvCDdNIHk>R8#Hx@PRK-Z+o}N($+7?y$rwk5EMym>7Kn5~mtVD^;Kx3{A)lAzsNM$S z;Nb=$MJh~Vb9`PD$|Qx&{itC7{@n~+M&7k3o^Jt~)E{tW)Ol>Y^e|JAMh zpE=w5RSSt>4q#$rV*Gz^;>{Y9HH(ah+mC4P{+O|RFKbH6;K(}RcuH(8h5`q zBeA42g*KkRLMmLo(_Ch{?bEjq*yF!>M8m%(i@yi+I06EbkL=L`|1x6roIwe@m@3-0 zu&;wy)|#+`oTg3Qd=ut&-=IzjQfs?5085q0E(6j>jStc&q@3KXUpW$ZcSi5mssXoT zYpjRzN(L1EwjLuERK@(MbO{u~Tdua%4HWpota@5oIe(n~$eJ(IsfB|1hWT@JF z#A5hQY)+Pvd?|~z1GUA|IrOi2yq~7@s~Qwxa8a1>r6zqa3m$0Qs6+E=cejQhsVM8R zzm;NtX@pa8Nw0JB36=t+iOIQ5+IS4xDDpVb?BVaSQ2QOg8EGV3H5%f$&`1W=>aq;V zW*8~Kr=AswSGgxeF?XWA0lLx)T8JCvKcc>*k@CzB*qX*ThSXD3lv+u$1^Gp>tQlFv zHCZS;$wDBik;%+oH5yNng-zGt;zn4UBx;c&?KJNWGglIZ+J!xpRvKnsJiij#~hlY)Ys_=ZT0MDFqL4R|6aYyX! z85bn_byp-mR~)}@ZXA5Hh-qe!Z0Z-hs+q#eq?rblei24Tb94Euevnn&_mGA@}=xytvpS+?`7+T>HLk5W4G3;@j;6{@_PhwO0X?Vm7;< zWk#SwF6fmixb=uI0BOZ#34ZxwJ#7_tdy>`n6A~MGWHzestcuD8^tsc|kX-L8hRFi; zuXMU-{+UCvtomP7W@RQ7xfi9S>C@RLD)hWMTZBVZ*c_@FL4GOaG**Xc)P<>-bSqY0XcGJfPc|S=C(t^V6bOH<+d#WSTJr(cn;A{b@)ut;3;es zukZV%KcV2ozy$5i_tiKu_r5-sI*7^h(-&Nw#5BmO(($Vd)zS~5fF5bK`<3o2PW1xbUHU7)nhh*L4 z-$>A6Iv$(>sIi9dzZq3^x-sDUWacRZv6Qbo0N|X|1g|kot@B*|U{vl+KiO5(y2~NT zO0xN6J2OVfXp{ZQ0%Y~Lp+Uatx&0v8gAwG) zz>9T=bfjCt!5dtO%u0VOSVld;x^Yk&b0wb2F`)`W2u+suF5r`L3ZAfzuMC%U>;I61 z2VobeP&3jD;+uFnlILWxag484BTQXH{Dp)DV6lUtogX!E`GHueHOKQV5D{IKj5x0F25R)|jHw<&Vyq(R z!KNQm2|UTP+^P;C9{W^Sa^B>4xeglls>S=@7tWG|piMz%y}{(w-ARuo$%;$ZT|f3nPRxbF0Vi751{jh(fAsq8P1F zxEK?xWu}aLv2_|ITItEYcyY4Gzp4Sj?3Lztfgz|byoWo?sTo>(vRuvDu$qd+P-pva8f+sKgpWoB5=E$dEhG_zQmhX(r33#J27@+5LNwHZ>@qdg{jAKvEst#H;%0TG;!reS{9jIbMY{NFUP%_!02Mqv$8; z{ih%*>qK4zGSo34oFcf%WN`3XF*o&K({l+DEZqsQk(Vd)8lt7tBP=v$=`onBK|8F z*jQ;^Q#1nz^vph1IP?tw>kt7&aX&3B9rj;Zbmfz413v#M=Jab2bzM~;HjiYx=uYOg zm%6e4-M4PBZ=&Su#WS?0J}>rU#baIYyMK-7uR0ITXdOz|@Y*~W5mMEcKS#=cyiz)y;@Kv@Z{1wO`h612PAL@A;;{3+mgoCN0MLR5~w1K|V zq=YdlI0FxAVv+)$@JB%IHJANthLDS6nnX_4vc=J}E6n?g@^nDKZ^bIlbqDL(IiJ*UZIP?eIgyoGT20OPN_C^95}O2HG3Umfv3X zz0|-o(Eb4pl5Y@u>7i6}VvEB&sQj>TA_GgnF~n|V8%2~vGo{}_ z?qz-xr(OaT%Uk46Rl@Y5q$eQTyPsr1LkeTl9;jKn!|b&u83>~2Ujd9C$ECkO3VCtn zsrR7>D5;XTP~@rTI8am|YmTWxI8Zo%58zu^F9O$}{o7NAl2HnxXZB-KR=x3IeK8cQ z`x$Nwiyd7AS{!+CqY)dl6dlbJ&hF`1W0gji0%Pxb&8@N6_+`DgYFe}~Akmk*!#%~` zh9Wp5jf$#V-ss1|UTf3Ksz zZ^r`awrw>3ORS&lKVlZdP_BTK$=FLXO}v*%sgytH>Lx_*SaEpzZR2e~rfyi2csLYTG?6Jgx)h0ru;xI^6}RMd^wQB{z<6 z&Tb|^w0-c|^K*`&kEQ!3;GU>$cvRKP%NUeb9K~7(zH>~=y!XExKwI%L`PZJlF*_I-(p z$!Da0vDjj4K-keyg}DHVX*JZ;LUK;33aSe`)Jbtg$_V2p@<5t zS+F*(#t*8TRo3jJK%n}eb=b44=a^M6C{z2QXL|?IU0D}cN_Iea-)JMYfdwR2ce$jk z9bJNTpq&_V;Lt?dx%Ps+8Z|65;gY50w?&kV6GQSt@%y6EK{Y5F_GJWJO^)|@eEdpO z(jdEWVjJXID>bQwkcDUAV9n+Qt21+@pN<4U1!se{SDAbG7Psv#;O?aj`h|W{DB@qH zOAdU^%SPo&;R`@gCcVfig?l6>YJK<9;c%1M_yNTR`X#(R$h1NvL-ZNT|OZ3 zcER6p*GcPmlBh}q81F*j!*D`LK$KX0s8uhdqs6fp^X4=1Zl0~2w|p!`j{Wmso$W~7 z=-=P|FQx>HOq6t@BJ;+`lVNwm^yBHLNpBWCEtFYyi3k<45wMj>0kA;S*5E5QIUO(m zmvC3N3m&M|8Ln&eSDTcZPb`}cq0EMr?!VbQb5@#k{LQXKheS9tkgl%fTk{KK-cQg= z+OzNbe9yOU$J=^@p-6ZCyT=FVpvVDCT%7-(i7(b1xBV{;?>?ou_@g!70~CS)%nW*j z-q%VPT4C$Aj%+BT1@(g%CbXsdhqh{b|{wl-=OYZPus5@G*7(UxVnFy zZQX6jgcEAVZOvq$#*z4}4#gYoWOu8U^IToQeem%#N6{DST}=j|*#`W3#2)yM_m9qyyKed5vL*7)npxDm{w^bfCii1aoVNFpTpYTvE< z@4qgbEkh?GFE=~H*gqe8Yv6WR0piuEp zHu(A^-myw)qId^aO=AzRSaX;)QPW55zks?K?9TDCbQX`u@#X3>Hrfz9sn;8IE229> zNQMMzzyt6*s6l~*&LQviGSHHQHqHhb<2xW>?I>t|L{v$ThZw@Ar^iBJmBTr6B3cNygo*TC>6_a!f+-KN6Um zm&*U7qEbPT0~4h3P(hIb=cMXWK~Vu5iUq83gdE}aDF9LXA-;gHJxg**+$MEiS3V{5 zS>h#S3a2pJjam4}DBYTgKE8;D@+tZp_eeUmGff7NYbCThHJ*@KGb491PwZ={V$K)> z+1&S`fO{L6jQ60^19Z}Q8EYgdr5YqI2|Q^lMfDLSN%=&w%#w9_Tg8^p5XbhI=!f!%7vtnuv%%XQX7 zWp)B+wOjmW@HLbRxNt{8bsn+3U-`w1sYI|r8J_c2dc0b)|D<0(5X|-FdxnYhY4D;Y zTr&23_RtCAGl;ByDZi66nJ$3G{?C){4w^}J-1`@3Zbub!-F_y5rWjP8CkMs=NErQp z7Rl1sh|pW-?rwt~@;(uFKsZP^zd#)Pd^;R*E+^IyqqkkD#@=GZe7Aj>WPKqi|0kR` zT22#EL;)58>z3Iebl*C!bt|1TL>bUh=1f7k8n&dol#yCXZ6F@zpA48_I)Qu?;gYW4 zcg3ioLS)x+r0$v)3ZegJ}hgMQz3Igr9vbjRkYE z=4AY;I2&KRmS}EpO$Hb{ek(x^IH|H$M#G8B~?k+cLM}Y{6veinKBXSne`)r z1g(ROA;Pc1X=}z?hMN&SST9Un{DqCn(fpZJ^Ty#&w8tdf$8H79OjFs((A+diD%NkiLbAB1JIIu`yd|iI# z#-#3c`i-ljqmmdk}<1LIPSiP__SsHP6PT59kqbQudHE6!;#)|HW3eQxpdfdRtg+BUEzLjcL z7C@Z)!3RjTNnY_ zEVUwjFnu%IeUu6?A@p6L9q6^8Noj_*W?T9%MDior+r@k5cIsT~y$;HyS0_qYwiySX z+19M%w$y2sY+eVULjTd~|c@?_%J=$iJ zh$2V+o`~JjI2uG=d)C-ZMhYo^GaWl@-a?jjs-ZHO&K;L+o#@93b7aJ8$up9(#bFAe zYQ6!^gui7PKkYSCKgbomjKPX5@#S6nnJ=_bVE&%3l(}y?kqq`b&P4|Nd==rhXg;+`?(}L@bvLdapLGbqNhLt?P7Iz@EaDh*5yNkJPPz)dO}#Q2a<8GZXUpf za`69{ed}yWR15VK5kz^rWo3#e8&Uwo!?hY{!JeZ?oF(f@s6tyGwvzV2UqAw z6Zp&^%Bo^2)BveADmt2msmAmhiDd&_@j?uh*$5*dP{~fV8ZOe?fXdW&W^6z4o#W_c zl_eo+QMGZqs+P>zGhVHBE?a*U%wp)p;R0}5hK8&JFB|&Y5p*QT6-9Q&r4T^jYzvTZ zJ*Dc*)964;dsE+J%)D6y_cm-$$W3K~g}pz~MhVLm+_^Xmht9T!R#7g87M(tjz5g=a zQ^uoKn!e|W45Eii$HdF$=^!HfYG-$90yM|~&sU@}3xqjKM%bpI;mt?VirA;}DrOlL zu2eJu+^E<^Z*z0Ta{wq6z%J0=4Sk8*eoNdA{zd;stpfci1NSden*l5~1}09gtdJ$( zo@(lfs7?%P&9+CP8rMW<*aBSF-3-I~+LfpmM5NT2OGR_%0*;LbPO*HsUznr1=bO3h zJIbe}48z1=JZ?S^chzzIWvHirq!2w?uE002{GvaLS9AKkdKo|$>#bHBDMEuVme!Yz zU=pn~Pn8E7Rm80jM}L$&yV20uEZ0EvD?|`J6)cK&Q1bo{oKJ_NqFVn(asm#+vc~=C zEsAA#^^e1-sdjIVB+^B{a!l-}c;5++O7Vqx`Y%%)#QLmvgotc3q0UF%K;UK)%&vt6 z<|q7S)TITgM+jgJV!9?tkcCc)`ZTKjMTUx})2|*xWI@LU^&v|+U`aP7!&vMp59hG= z$OL;%o_1CXlgqN1?b_2{pCM}(Q~V{A(=uUn;?O!QbEbN|3}g+?-Tk@A#Q2ELsJ31- z2qZ?uu9@6w#_VEJBo}(Rc=zv;f&=9?<`G2wv5DP5a|R$n*{nWZM@4oKPS>@VYsf(Z zSC(uvx!l;cb<2|6yHnLlqCwAuDH#u|*rCXHu0DJj?|Vq3nOge{CVc=g5UL8f->+xQ zx4h$czCEO9-qL;APzVHhYN;dr*oqJL4PJ%Y-a&T<0#ghOicd(ztfhx+X_EJ(a#?qT z`a&=QLlt1lzlSG=Wlfz_X9VvE+n736m_*|sHNT4(0ZXYlT<4eqBxMZS@GAeB5Y}Uh zcZBuD^V&z|rzUc|Wtt9zV;JPZWho=sph(u2h@U@XY)vWzi5W&sMe=wYOjYbQk&iTC<>?~uY4*(Tj*ONuFj+;E<^lB7&zq+ z*{@qO6KKM{N>h+H6?aXoIS-#liDM=0c!@S=Q{mV15Ba^xL=~IU^pR@vKT&K^2K5}~ zn5J!GP1|V+VHyXR^+OM~wf}7}W?Li4>37|~+4!9Pd?75=w_6_4+oO9n!PmiI&I*8f z6#z(ykQke%vB%wY6e*Ik87#|Qyxtq^8#_&9Hm4YjA}2JFt|AU3$*fG6_-@T*J|5Tr zZYWkxHSQH0)GtZ(7i$i4FDbEFquzO|*8R4It=ZXF*VUW0N|ZeWkYPjyf(>BUld%W} zDH+ln?ujWX{+@&?zM1`(_cP*%bF)OTWdJ;|%Erk5o&4pPm5=Tn&{)x4uucAbAvL&1 z_imm~@YXe~|563%qj=p?Gx-~0zTBiciRg16$Jr=(^(+Np*oxu0FHl0WZIZsI$F>*;jU49Q~T7(Clbcne6n z3a^>X{7nk&vC^FX&}O(1E%f|~2SeLfu_cPJ87farn$g7+v9$q({~g`>hu?YO0r@P& zYXBD>Iku{9Ur7{V@!Knc;TA!kK1Y_5FY|@{Zwi9Sd3HI5=+L8fESs(kC&hjHW;Wj4 z8sTL%=yu_WIw9D@K+J>;C6MI-K>?5h%CZYUd=;|W`Qi{Devs-}xgt-Bw(yMRqacv77wC*U0jIhPr+V(qXAe&W7A^26_i4r+XbAqj z;#2FjDr*|PwB+-8hBi2Si^-$RZ50aW)%?Z|(WSX5D11ePqrJg+f(JhR^wjcAbT+=T zIJNnn&d>3o$3B9cgz_~QQhdGtfc$G^6`AEL`hw`bJI3Z$45#7RQrN-yH_Umc0C41= zhZNoW5GY+R)BcNcy!P^(da^0ZoXB#&+xvShu+EcC_rHN5Q=-8ZahLxvcCf~AmgbLX1NH@MecQZg$5ER%U>Q1d?NyqTGy`}+-qJWU?RG^-qE1h z!699ez}S7pbga)|WDm^$2JSLZ%BsAwFH?Oayin#aYBBN~M;w?Q8~HASedi=A)?8+s zaf5eMPxfC`$%erZC>(H(>V4K_#LGDrAWQ|O{=E|v&|oK_1s>Z2 zYsun=HW~%R)X_v)mNBWNbm7$1*GI1mzJSD!?kX=tun2d0Bz#RI@1dpoQnn6kb+uw; zp6+CId_W`>3Mqz-X2tbk+m8NIwv^F*Ao<(;iB`H^Zakd|ylMIv=x38mH>i0Nr7i}1ZQQ&_ zQSB$C35J&t+J%Y<{>jiu$q;vU_5|P&_FJL3nvt7khEx#;Np2I&+PXFov({1Rf5E(B zr`B85;sA;%xK2;?5Kg~RwmUug4)!WklC7gf-yfnfgCX%fKUnd#x(sI{Z3432eRRW! zfad68PW?S|H9W zi?-Xkm$&-&aaqYXHkZHKeQ;_BWG)VuR@E5l@#ahF5GTWMc{pYn9|z;8AJaE1{)asA z$n4M^!A=!u+&N%HR)Ff^iU~A_zA`VF#<%Ch?H>rb{u?scVg+V>|g>8a6atT}tf_&yScM=5~(;A(|9 zh3(P4SWCM@dsC14`Kt7ee*WU7H{jJ4J3LgygKJ*Z`hG)x*S`T4?ZFQwGAO7SL=H#DN9bq|7VKzsU zbS{rTWQ}*pSD<3IyPHsH>YNBajUL+T$3;Qi95F0ucn%r?Yq`2{gi!*Gr(APMYaRas z&-L2F)olAy-#HCn>r#wjWrjVL%`k~7CrO@%U%j6@1~ts$-v8B8=G6HSWHK;jW)8O0 z6k#ZDVBb^}5hy)?7_l)j3a^;H%MH{t?fq1+~(nwqbC8She6o|Np{ro8fVWaFS-sB^@-kUlYff=<> zVcfXEcZ1nEpgtyGS?K~?7G&2IA|iZLc5L_VUZ1(vgT4@0x|BeB7< zS&j7fa@rNn_7v60e^)n$2(5~7!A|O(MVsU;o@28*gsTrxIOYmV3ES7Ib2$_~_9G}k z%RMndIm*r5qW2j^Ls3W)a?1Lm>2db;d`G{DMI5%^akPJ9+ir*nQD*nQa-KUnOcBr+13z2si{P4<+jKj0#?04PG(2X$Q7$xuvi8tZZ>rb5Gvrbj6xT zy)K+QGx!u1F+o+b(3gecb)At5+S3QCsv-wW+k_bJx7M=gR+yLh?|JK0)Rb;j?owaT;{$3wWe6ze@u-q;5H34Nh)u&mKRZB>vgNNQhl6!$^RNjy=T zJbPu%-!ceVR<~@NbF*n4TDadgS5_0G6|r<6ZcEUtyH`?~;KRMR(}}~oL8`{f_lyI~ zM6JfeWh!Qw;yrs4t0V2e$efbr8@U23f#1n)yVUUAYBss#A%(?ary0r&<=Q=DN5Quo zHf1TG&$i$*8%A}sqvdQkV2k3cVHbFzEmRInn)8PRkhMd;5WZkmhDX$zDwSPIKr3R> zV-c}0cxKj!omSS?MH(FIIbrPr-wk;W2tA-j6 zu+TTEJ{?uvgP39X8Ip$E(0Mr-kc72v`4)dcSSqI!8?(+x3TJgkCQD7R&V7tqN$YV5@cX?5R$XNI_6$ahm`uS=Jp6E-?Doj#osw9 z8pwar@vtWMNb(yQDW&md>9wG=Ozbbk^B)(~ps@3rsDMJaCe>g+-`B4e-wI+hV=`Kk z`b`i*-r95AT>(PNj&=YYpSAc2lT+hJ7B$l+asSh~8jWqFUM8L*PS^nN@Ca=oA&}hgtIQaZOU@7@f%LSX8O+`*>&)ccbJO)YKGi0K0V`|>^ zr~vj&OdX^8ceoES3@k26=0uH<4{x7t`yvk68|cpo2lf7*+kWKrCvD$Cv&lwo7=a!? z?b<4ew*KugkF}*2d@Uzyaw)93g;J=MU7g7`gAR(oWXno8mhd zWlV6QwcXig+72E~Q`7H=4Go|3rl%5g0%|X!4v}MbL61+XN8$H^ZihEFHRZ?3$Tb8= zc;WfO28Xrn$ny#D&ej);j2l?NAGs8iTbN<EJD;N+THF)8+Q;Ka zLkkga?LvUfA*KEFwm?Ar>1WSryvFg&gyp2{ z`CJR;`*vX$mx@XCzpvL8Dp0VAUemY$POks=6MDDic+BA#Quim#8>sYY50Ee(>>At~ zyX!VAfv8eekT1e;CGQf{TI&bQy} z|DocYqATr!t>M_VZQHhOJL%XR@7T7}vF(m++h)ghzP#uB7w5mIG1eYyU)0#^*{kML zbIzK%d!4KKerW%=WwdAhA&)QaJn0xJ@jjegB#t_LuD;uB8f{+M;s5}@FLd`Xr`9Fq zp+jMe%O<}C3t#KTKGd`FYHdM#4vY8%cRP@(Q_31NEoezycIc-Owda~lO+wl#i#EUB zM}xeLri(^`E6TRk{r_ld!vAP%N9Ml$Tc;?FP2pT%R9p1=esu_S!i4Cz`x8umtP?dy z<96{>iMd$V#WjRqvJ}`5j?JRN1lf=}YI_%e~fh8tX;}dJ_;Qc-CjiAIv zQpYK;#Zubdfp?Bj3Qnc05~AO%=vVzrEUrZukpY?lK;4}vd?je;DhBo?R1QgC;O7uE z1i8tY1+5i^bI^bK;mlkj|0XAoIklUYx;BB_W%_OKvm5jQNQ!0Ajxq^A0$`PGqf&v3 z%22KJrPxLGlGyuYb|4QrjlRnIRgCdeD?lQ{FKz2dI@gXA5@`1QTZ`mi! z_w}a1N%IGDb77jyl`7{zj?jXwM4i~zkTLk8p|^cTtYdYG{xxsOBXN%6Yqg3(QX8u=}P1Jajj8b zDTEuJ$36xG-rwTpauw4YHoK`k!vWvfxSU0R>Lp0>`^oQVkee3&Ib<*MW^T~&qtj** zQz=~5cz78|(`yx3mVd&1)0HUvjE_az?3K9Bq~oh$guXLQp0MPlO_hJ;xR(suCPLR+ zx#ujjO=UEYdg<+aW~z%|(BOe_`+G$K*-EQejR{OJw>WL1GdMud-LbEO)vmczuRW3h zTMlHL_wn^Ksk3Vj<60j5q9l>9iC{0ay>u!q699}XHm3;O2KG9~SM&Ot>i7=xGsB6U zv^)zu8PH~Uv76q%r=crx@WFuv&5(z!TcngMLbR)C`372c8(cup9~sy%gcl~w#H zlxkrEjrBBMn$}M7VO&seej*j<>)Rp#xg*=^f-Jr!1UmLJE9d7LRzdxC$O9${zMVp~ z+j;P*rprSjk>^I@v%lDw1R+VGszr&t|2QgtuTw-060jx?Wju%XRR@zk%dZRU&$i&; zt@_47+zQpEC-MK(rv)~T3EFGLLGN`jloc?WadN$?CD1n45Jg8~S+fl?_{L%C(QkZJ zo^IK*7dIJPbT664y|CF@wLKz@_cA3t>}s_KKH1!~ z4<%?k{EHmumc0z@Lmq^Ewb1pmerlEtBY7jjejqvAhTm!I%Fsjr@kdL_z8I*{F=0{y zQ&BK?dk%ME1o1Y{u4$%~kS&F?AZn&zVT*uLwNs5n9xKwMt`3S|F_x0G!sX*d5sUXbEd-G6ZkXiFvR$C8BPd!W^6xalOK zOF?nE+8hDp!xtn6GieJx6$#*9B0kCEC$sv zsEAq(o17fC&$rg?n`NV^uzo~|W!m~AzfWCeH8%BiiZWNm@lWG00hcj*b#aVO4d}yV zkf_Zi7qt$f+{iWRUl03cdnx_D=f(`da1#W--M{Bkx4KM+HBSj0shW=iPl&dsaA?Nf zc;2P-CaC-XuT32tPNB^Q5ldyq9JMX8Ue45?yPyT67%xCZ1dh))oJZQPLCZFK8>2lC zXwIe3%w48cV9&kARQEaby#x!HL`MV4K#cQB51l8tobIMB!BWkOhO}yLu zd#DrFl}0HD?S3Wjj1Z3P|4t}M@}eV`ytu}+BzvkdNYfyN5)pj2qw++v@iO3x(?CH> zw6Jn&T$HD_7Gvl3j;LkvCybMM9)#Y2>5FT19|x7=8Hrs5+mExTO{9g%|Do+|JVwtL zX8vLUFy{X4wIP;D%fq)Az`sAL@NL2acRG2gH;QT0YWm2jy`fvR;6`>+eTx*_c@33f zwD!_iRLRW-)E&FwQQ`6V3T`}H^eBcGza!yFWcV;(zx+GTGDP0VZb1lwdE$7RIuO(7 zreioeQhMh5meb>?1l^|PZ`jehfAD(H`Tlx<4EqKFullh3AJhTD%9iGT4?&xTZwU?C zny&^;j{?k|He&%z3Cxz3p9V=0_OtO5Fh2p?if;+$hLoo22}hpR`Wp@zFk!zT1lRfe zgG_XiHjhk!MZ-uU<~Bi2H6Dy!6Oxo)z8UvqQjPMVB9}z=9iRgovCNdWv-aA0oMuB;jTPSfY4{73Dzv-B%KI7)T9aM zU!7pQBo~2lraSdshjpxgM6kfL&_$uczXfJ}n!0VI)2B{wivt3oz6SdWFS}0AJs}RZ zd?JIJjXFx!p!B^qJJb^bcgjtDvXRVmaVl{E z;?OBi=u4Oqi|g@E*ZbijQ;j66_B(TaPsvJL_mj5ujh{$9tOOfI0W);}>sD?16RNSP|Y0odNWo$(HlL zQXAv@L?^kgU_AUA{ zkb>8kW{n9~?|AWA>oi_3e?Dgc{4qY)7jt%0+@pq5A^mDH7JDNnFv4h2J)m^0ipama z;>Ei|ob}Hl(^H25O4?vTF>%~o@Xkvu05DEioR_GRnm2N;@u~n_72TwQ-2w)TX)fI^ z>zPJdPHs;N&fKx*mclhy{gM5hcl&kW$d~;kvZ~P-Cx|D8r^RM7Rrw90DbMNXbN{Xr_X;TY*#XHrSGsuRA*>$Tv`6t#2yU`mk(ngkeAxEGh*}IY!T1nejBp$SQ)vCbKq0$Fg zK!FP_6he_vUb!#$qpUX?KAyq zkkK64?+@nX zKvwndbjN3&WRGAzqWUtgklX}1pgCB{S2oSU|AmcQA_8N2ey;16UhDZ>)ic&g(=Bt& z#C@BE<*=2AnC6e~t_0h4vytuo*NE7MAoz&ftds*5_!#tG9K?(6S$1D$sq2MW0{qI=EbF74AtYSETt z!DBzDB;?$nST<15LTX=Oc0f=lY2Kz3fhzZk{YyGI-`j4z$?w@mn$62@RS6sBfU4K> zdr0mf>VD}hmyO?`C?2C#ElW#JL-W6lpM9)`8C!vxwEScxTvBae0A=X1eqD{qZoP+A zb_Zs)`uczBi}zCokm#vARRqnLUs>M+ic;z;;#&^wT4bOr4Kva)ca|B|nUjx1N|f2| z(`U^;%~zzVY$Cwc1MD9&49>1VN$GXiEXk^LP>tpBW~}%r_c5EkTcFtu+YFnHW^`V&dD;M-y7ZDsU7a?N2=PG?PwV3hcDKJO52iOeMFjJq^U0D4nIf-GF zTvcW3D3&&pJoU5qQhb(eI-->WB_6b98y{;k?Vh_Vcm+5%2svgt!XS_2Q2&x)aLY!s z_tSct{jRJ`{&rq%IbK=9+*t1P+05+7j(%?3?lj-fP~le_8&JtlEQzX|ez2zaM>C2# z$^Dpvib2hr1$dOIRpU656mp5mV%br&&B0}xa1yN>_ryQ^_b7ZqnLOeBo{*iy`rIrp z(LRJio89`64y&{Cmd#V^j!lExJ|jbGqkoW%!}YM-H^hjf2Sbn)1%VS6T`&Qaw#Kgw zccgnY%c}>w`}xa>U*11!cn#svZ+ulZ(H z5_^*`FMyJ58<2o>jhrz2+^q1RvbAm}V*`^e!)}2 zHD9Ae%P?in=MI{fv$p$n`9EHoj22zy?wH_0L7?lqakZt+J%FcGh4;$W>|xUm;NyXC z-t!CNKa7F~%Qa+=kPABdxa20-QUs00+>)1kl|TM3B>A5iX8#FJzrzqAfI0u~!Eyb62gmt82bcD9a6w_K;fOzh^b^RftKlft zG{D?xeN@2YX;zIWF2HB4n~f+_z+gG4^0)unBa`Vzp-4M!MhOD;ZMAMe8G{9jRrc)q z-@Vyklpps86Boz-?Vg2bOvI9M{`V-nK~^a_Kr{J3)pZEj1N*=_pV}*4Q-^(gllz1x zu0Kth4A<$(E1T78E#B>zVD<`jn1y}UU3~8^Abxz<<`I6r#=YOY|L)PUv5vNHCyZh& z8LZRmWG|+B9@){XLj>v|Yz15a00nN@)%avd&O(N^XZ;B7??N`434*-jX+Y?p(3#|mt)AaE>?Z(f{fqfA;=!m(X1A57l#g?a`x6tFW zPdnU4|J0TnD35~Ag9-ghp>V$O2_A3cBb8kMKX;g(CVNNmx=Pe%s^1$=0?;F05L&xJ z3nNIZG{Ymv#4Mb@H^Qv#FiTO64xf(AKi+hvg>gDp;zv^fFY@Q&64I|xpDioI@*3qp z59ZhWK>Nm~DZByhgg$~sr+QuCO)(n6B??w_JT6l6MDoYdmez6pDo#M9m%;F8QQvWA zlkp1+T}7h?Lygo7w+>bu10)er;A7g_9TDfLEY^;r(6N9Ju~F)R@~Db;yboU=9%4ze z8S?(~ocTMAWb5=a+eXgx!kwYCG3L5IS2pjf>bcTf%tTZkEa07g*Y`7KObe=-D~qkM z$;31ovO4O6L=7Dm=5KZ4LUr{x@Lj0QKqX;d&FV}_d*u|#g@8k*M z^&rCLaCt12ES|bq(x34lT+vVp0+9%6rQ=FIXzvgjQdbQMK}aT%E8cTl7|-zQ`oevp zLURFUTX}Z zschv6cQ*U+panMX(OYJd-9)3i2Bi_GL~5_ZLD+qB6{?9LZd6%2U&;fKw~Dw}tnTmu zNx`|42WIPIW$xnfwKZV}vt$KO+2eI5uPw7UqcH=~e28MvbO4coL=9dE9wBkCWqrz@ z+^F8lD%0Cva`li6ad!3%4~@RkBuEEj4XQbG-Ifh5*%zEA_PFZ6Sxsq_t6VHsbe99+ z#p4D=EMet{hU>+x5xpMKv_GQkk0-A|_s{cQxKvM4uyNJ^8Fr69+x@+~Kw^14_$o}s zV<6RiQ|5l(v49YdnOo}IT4z?Jd1ju_zt)2b`~^N<&{d4uEe1tORzDZeKkVu4h*LYZ z=dp-uPLbN*3>Abc4F1;9=G^Ir=NZo3{{n4ce0iX!d^&RHbz>tD$MOhNYJ$3KkN^2E zVxXgMR~^{6EJT+uBdJNGkB!7TSaji49i0Yr%}xNw_0oO~qlh5^xbbuCYu-Lr9KJy* zaAEiV=YB{cj-vpua&i6N+rdtyT>+FC>GBokyEn7lN7@ROOsGI~9YL9FEjTjp+adkd zBh8VjemVDFmnZ8Us}azrF;x)&sua5CWr|aVKou*kq~tX z&&H0|x8& zT?vl;b9s%E+1F2<r9j26bl2RkMFxI{68`P0;5KuyIK86Mf}$NxTt4%7oUZHQx*Dl`kB)_3sa`7oRHWq!qRa zRB!+s^Dx^XPH1|dQG8fB>2ew0APNnHTR5606>z0~uJ5>R$Xpcz<4U zI+^&x=rJ%zIYX$n2>FmwtSYo&JmadNKhna6$eUn5jFFHeSTsby|JHyI(T1~iCN*$I z9kcL3qQv*`umdBF09d4GObLn7$k2h9$wKtzWil`?l!#&+?Ly->QT`dhc0{1mL`a(w zRX4$d0m5t$;RYa7AZpVb%ICcjDAb5@;2ua6yA6^9y*M^eoVZM(4k)__q>(7V0jhXp z88Jmtc~&Tg3BWU$^r9A0HB8lQF?UD)s=Nh* z*h6%dg7<9!QKh}qQ;Llgi_S;@r72NIt1TE+PS#-#JW3=LF+G(Pt}e@GjApM?r*a2@ zxUbW+hR6!V#>S#QnJf%`Bi?|H?<3+(=fM3w1~4Xa>k!$gO|dK!Rc>0dD-NeD zQA^NI_lhLb$tK0<^ktxfbo}^<_>>XX>X?WVqO|Rc`%0Rv60m4~p_|x1F%@bssa)Wg z>7rws>a0uAzuGlhr&!s>F9oDh47g}mAgk*5u0d@C@AW|$RGOoj z;*uX|11=-X!1bnNMCh7UsU&5;IIN4uI2x?bao1AIOl(%CLrCfqR{1=p!G_gr;Wy~i zvf!ciH6*QHqsf>bU4lNw#2zw(S&D5Vn+0 zwhb{M7tr3oR4;Lf^^kqOxWp$Z7wU=CDxRa|05E^L|!CWX*mmdRriFxc$2uF3hE2}1#&ySJqz{TqZBkL#~J zz#eKRe^iXX+v(o3g8|@k?O>rX?B~^BY~UpB`}5)EY~o~x@2kYnZ;LU{?{!YH$1(Nz zgixR!zd7z=hTYAjTOA z@(eiYW0?34s3gyr$St|fhsrVT$6+ zsqMxy>kMRr#LX$7x5qY@5HfE5*2-S_hF%Jp3D_GM^KZTG_KNz`QN*u^#@_N6-|YePGo{*a-aRvXKLP~4ug-3#Mt_vl=#-=) zoz;djr+!eaIFfi%U%=b=D?t5#bHeY-%5M;>$SzmFq08syY~etbi||>92Ck~BHlF>Y zl#=mjY~2|aL;Rx)dJ*;_;%|_(N+~Vb$cDNmo0qPUT+&ZNa>@XxrpW_1TqTZh0__N& zk6{4s55V*GC(R2}A3ngWz>tF`{DOKceup#u!7wvY^->VX1Unb7ROPnILA%$?Mzl|x zSLZwqXOoVArlK_14|B*KxO`rSBW&}i9P_8y3$YF^#4-A0TtWtyFH+LMrxNLPN#|(jcZj2HMeIM$ZcI@F=)$09H@nFQgbAv7Pv#PS~`P#lvJ zgg#$)Wuk$-ej&#^eA~eH3*#Tx|L?~9y;E-SPj)MSy1i!Z6Me+>t)KqIs$uW@7stt= z?FrLBxq^lVKAx47-t1n}wINpD4LlqvR(C)Ef8u~|=!ww{57un_-#@H@d)}B5Og{hm zdyjYl|E&%J!KDy6adNlPI){OumtIXR^e4fu-ls)(yB+wzuHJ`1pUMd&gva3uYm9_M zm)c1kcb@S;o_!~Xd(U_g0^Ym#dO_6wZP{9JdD)LQ&738xc4=Gh=yBDtP`1@@FTuWl zi4BDKdKcXGck|D=8t>%v5`+!dNmwGdO#z76@bDmIFrRWF?m~P`3!II2(y1KZhrwVS z`8F*rU~IJe>PoXY(YGZr+hMMK0m^$_*Nils&!6BIEUfp$#QkaoSJVKhq!2CDm{6)f(0w;PtpM&sS-A}(xr8A4&@p8++V@R_Wv;=(9DBVnCbG>7TYcB;m1Ih z%FIlugrbxG99IVmE6p9E9}|zeRR=_!wL0V(ba}s`^L;YKI>F9UH~|zHNQg4uu=u_% zqgoXi4SYdw!?YaFE$yd7vVRYj4VHIl7aA4twzmy!C3SGNUPftuuD2?0UnbedR8`N5 z4119%UM^H`T9xZ^mndE?#$Yva+Ydp}Upt21Ts>)VCcWJC{hsOhl?Q(*DhYs>!(WNP z3SnY5;51PiSOjc^E2F65E@KYZxwVF$+hZ;_JB6Mi=rx9V_pogRwR|}ZxgvI#beHV} zm6bD}KO0$DM<0W09U_IvajEDX0AcTc0>i4BE?)0_En>NWwz<_gZJW8(8Rs>3Dqhh$ zdQm7&QB5@QKiAawTHX}JXaRJg?~esm`kG#^{el+>yG^vis4{99?zli3c`6(sj?c^b zvC}I{VTjl4hX1-FNE?KK;nXlZaDlqG<&XUA!15c(cWL#AOH~BHzjtm#xm{j#3xdwz zKu_am2avbT9>CG{g%DU8K_hTX_oCq0(h==3!MPj!3QAn&dLZe02dFw-_XAAy&35eW!O_ie zN3qt4UDHX)g>A@ZNEfk3%u3zS`41ijhM6Zt;ZOG_>RmWOq#ha#bQcY5g<3p+VtXu0 zIbZF<(XD|aS{jWp06e%rW!>wXAX0z+VSSAp&mMmK`LtUu8|9oA4 zK?dR?Q_-`u&6o^Cu9_yxOSKz$(9R>>@S)S4L9|`yqV7*| zXH#)7owPI19v=4fr*%V8+GP>!lgJ;}dC zqXN+F+`gw|zw06+d^2@nAL?ob@;=|ufa%S}X-@&EQ(aRfTAD{-hmb|xnYyf|t=4OL ze!A3Dyj-3H<#1+XB9d;L zSZ~+mdY4#itELM!lrjNa6^*|Zs|&#9U>=A!ap#YYM{PKEC8TO<5{H$8ec2l^LL<4UU|MJGjI)#N8M3mOM>dI7a=hm0Hf z*GE&OcWC3Dr=*apmPJ}xn~tNBIGq{wM1I0a?kyS_mzpbTqsW2WAF^>fNWSv!0I)25 zG0@UJ&bz1zs{Dio5!eD_U*e%?Tw?YU54nO3ccm@CYtN;RzzqXASWpq(F#hrK8q2vO ziO*;j6&KW#i#bgdmrILem1)NTs-M83Owt%hzbJh z$ASfJQ{ak@(rE2Gi@ed_g;5%%_u^?yXgLa)`tuwqXSU3;7u3#)8uttBCfahp710|7 zX4+O=x~!U)alMaJ-b({Dp@mT#$b8C)x1_*Ou4CvmM7altU=1&NYW`XQY<6+IUsX!& z{Zg@1x)QMFy-0!QvFyo&a1NfQ7Gmoyzg^$v{obqvxgAzMUHq?ETU+KoB(iABchw|2 zOKVYIroqOZDHeHAz4-G0a;IQ<^6~f3(wM`QC zDKeQ4QgRhirGjzxPzj*KocITj?#m`nx+KkzqhqSL-M@5c!I-2RLn0tN->C&-fn)a|t96nU4kq>^L*dhgs7N1LHQavx#kZ+Rg{f1(KHr4_TiW!U;n zL6kAShJx&!M<*`7QBcB`dnP-DU^@2uDM#fhnCJdUJ`6rPP1AU-dzLgjxe@d25{362 zVw=U@a@{HE5&-SQk-zJxKSLqr-f=J;94xDCFha*bJQA(MLY)6dho75Mkc)=~ty2h`yan@zv9=NlLX1V}kanE@4;IW2b-g)B{D1BDpC z{D0ehDe3a5bd(4oCkEnG{t&@rtD%xDJ7@SW4^_|Cinkv$1uRr$c!$s|NFsuon8*}I zqhZqgVjefF1eaJrVfZ1?sDhEyGk(c)p0R}ulR5$w%>$CW=Z?9NiIWQm%zI~HOjqrA z)d;zdRvMn;bMbcDh}D44Qyv6%vuw)0e~pzM3*DZKHZvLn%;9sEJPZ*nWAeOB4Nc|k zIx$_jzaLLY?+H1rs~SzMsuoOLKdfJpXmQ=~CUIyXLBH5jQ&dD+S(K71nS_#1(^aB! zC{osMO4%T=OtG|r0bO-6N)Bz>MW(#4GPKAV4{UU2AzK6LQS^W&q&N{h^g{X;r2-QS zWX3%K)l$HV;UqK+0_IE*utR4GYw{4k$@pC^G+h9rzDWAfmIxLkq~~n(Z4evn7!@dL zvTbr-q?G*BVR^mx6tZj6ikt+F#Ue_+q$d4fH+MVZ>O5YC4rvx$?K(D47!OGvjDnQh zOeZqSLB4i)eHfr0qaB(*=Fr0`mQU++PKP=AsKV>zwFDI-yGmmK)>LSp?y2FbQ@Byn zA2sW5Xb{!ID0S|lfe35m-(ykOtS5qwMr$#JF-iQx#Zhhi1l3;33?FwC%64GYU5bpA zoHA+d1%9mTQ_*xhra!SX=kS+p2t+n;t82YTOUw#okxhWr0@mK`8!;UV*nO&)GTeZ8 zsG_}|U>Au+v2rfb!9kP*=z6RKraNNgWC;PXeal0+>=*6iBGpz5_A`QT5iS%HE^2*| zP?k%w!FpW~G6D<)sotY1Bb3r2))Of`xZb#+)YKrlUabjX%nzNL5Xmk`V-GYRrclWt zNOLaS)+7KcND1YyT_Ij=(C-atT;Qv`&VWh9eV`n{4A6{TZ^Vow-nw>h&unm{i#-C5 z5P|H`7AlQr)HbZ+fN30-fL$T{v(V78XB1bVr|iIBDyG~5yDrJ?Ak5LaG%PEmMQt5h zWe`txstQO0=I%kDMefzvBx!3P1d7{U*MJ~ADJuZIG3TV3*}cC#CJSm|A0?Aj@)YD3+OdYNoWU7$(wX$ z)tx^x@iJ8P3f9L&$2xQosy06N2hSQ#Pk*#<9shK&TqGYt3|ut-bkYSd8IYz_p-YJt z@Gt<(A6S}~7;5VV!gw`+6XPS!xM&;<4J^%xW5@}41c~V?;0$A~p9ulNHZ^HYl(oOl zIsox>BbG2Eb8O`L`}JU^hv+`r%h66T{k7-&`|}w9cvqHPgNl!A26Aggi~l=E)N4sC z1bhYNjx)Xtu>+NU0p{)mBxVB~{)@D3tOVeHAkRU_Av6b##1NgFNmOHq)B9c-YJkG^ zF|e9VUfF@{1aX12717Fcv94DqRM*Cm^qEDu0& z{J=~Y{Mr&|!O0|#r36Q)0aHYVkY)%|bc?m0zz=bBW&%w;qK_G-^8Fxcc1|D^^kKV_y`icgTxtxfI|ZFAecr*#^5;WXF-1rq^?hH6(VrY{T>QClpbwgyP=?7PhF0!EU1kd4iJWxBIAAtCC#=N!{{<_g6ljX(De$uApR3Vnb&snR8> z(vjz|^mJ<=!wjfZkND73<^Hwr+V7aj#KUomr&hb&)U@*@*v>g1z=D`Tv5rL(2RpMp z-#`1p)R@q94haRF5kGgKSkutQBc;=CtAw*z7m@SVK|9*pN8Ov-7WGQZKU8_exWiI^_bz<&q{@3)n%~df z$XizFKGv^3f5wlM((2new`)mVaC32j85&|_2Wsf8Hm<09wmv&yUN-Q`avjuJQU65g zSzGDJXE7pdjZaOC%LDZSnMR7;fmwNBQJm7=4P*LZX3J?SRfqJO(_HE-Oq zP{$kNqlw<#k@I?G-~11b0{%U)zgs&4yi+eeyJc zj!^F<>sgWLyked{#phim{L_UyH}b@JWqY*R`nmNyRC5j3_~pioAS08h+kcfDgqbrf zZxn?TlWk$lWKJH|cmHkA-jGSRjE|W8_fLgJE342!%@w zCcSka(g=6=_v($(8c7$&7gfWF$XIudTvJ!I28NpGV{RJo){Yv8%liXx+lb2lh{=7@ zg%9NFoMyM-iO+>g!>@lawGoN2VkwFev8MyjZnjKNLax&%b$#ZIl155#N6CEN0{D&# znUwl{&>$_D@<4%V>v`ZA_VV0~;{C^t)+sVn^>V6k=$oh>BK#29W_QWxQy`@J{v_b6=lj~+y^yf9e9pcd&R~?qc_yU zD_4Qvl#Pba87dV$0_vdRgG7u53cJ1!M<_%#0wwA%fJKZuC9H){++`Zfd^4#<+gDX( znFBQ*OzaBNhL_4Bv*(i!ZAM=NbSZibO7^Ctk{4eT4~8)kJ3--G7cUKPl!v6v z1WVycW$WWcrL#ltWW^2wM$7?!1`e|>hM8Acaw9G;h~!T`5a_R zHSj7lp0SDDn~hltD3)h#Tw%qQE|m-O!AJoaG|D?fX7-sC4&JBmuTu@b{TZ) z^-&<}mg}@(@Qxs|lR(0_fj#@PahOLW1;PY?AP_u5UR8I~IRj>h{dXsOp&$orUjQbd zYoUZj(%WI?SK`rgVfX*L94$ z@z7!X*`HF7LvlrEsxi$~-m@T!dFlvjLP9io<|>h?>eVI|q%B~p;*t}GWk$c0RL5y| z15elBl#*f-LXJMRpp(!V5i3Eg<7qkGA;A@SlV#lm`BP`9> z1FS%hr;%exzDrbTl&pM2t3FT=N>yZdEE{KVn5S^I35XeRtj%}Zz!-!603jgTJb>q8 z>-86cp)%=$WHc$**KH}G-^)oY0;C70Nv65bIzq1myGWNgkd!H}KU|Dsv$_8keBB_S zxqnCoCurb2=>xzJ!r$XJYcQ7=GiWua8c(J%B?aCuHoqL=Y~$6lzIU#Ej>dGEN9Op3 zPjac(B{DdjA%(?v&=u*;-2NbD?)GP~^phyfPC@l1a9vIH-ZI!@}1 zjJ`zd9Hc$7iKG3eLCmKyS*b!DZJjv-ImZhgA^)N>$@rK@viToraCR!|#X_Fl{%)%* z5pkMvYhE95gsvSuwta3N_1>K2gBtSYkL!A-B@xirwuM;79JB3?HpW|l&;>lYS9Zc^ zmdG?T2V^dh!vkvD>$d{>3tvdZ5~$+i3l4&H&>W&s5mouJI`ZwjQqxe-@8t#9{MWXs zLC>-3j^Fof*hpUG_ouDOTEORCDJLNTp@84_)rj&v zpa95}ixfsY8SsTg!w;RIud(eBUlsfgrEsTA_klH=~P*}jiUT~d$l z@7}ttTIe%V3Yu-z*hcH7K%>?SW7j7V^Z?U@jFwRlQ@Z)^CNWQGZkOh~f%RGGuO6i% zZeF<`h(7~ZXnXzSJ~Gyt?y zzoss=xMNun+b~UvIW(l>mbYWw>L1M;et!|v44$mNkmc!k0e82G0B))L5FKAR7^Fj& zyLt&#_fRuMI8jfIU~Kbaa`^+Uc1yNxLq(x1M@)5WoB(@?--K z5AgUDTZyYYS*5nCUijQO>@q!=Hz?nCSv~ZG>gB0g=4GrcO}Oz*G`-Fl#V?DC7K?bK z4fVFj82@ROH@1$TKp(j*Nf2h*h$i7;pD8rtpXj0Gb#shoe@xvp8jt4!)&Ss+gcS}V zcGR)@M}LcK^d&--(1_K%ILU)QILK)f=s2la@?vML0~yGksPMk_=u(>8-o%_cNZwqf zaBZC;r}6&KE~oHfwZ99|pC}rybI7%4B=m%`k6Vxv$>Ay!f;1CzLFWsfv;<#dYvz_7 zdu~qL@d58N?|DP_)Pu_{G5}@`}y4x)}1KcPt z8&K33#Sb>D4mr+swg7Bhp$^M);=wN}k#Z*mhN_CoHY13lxayMhGb(*@K`b+L#5{9< zDrK7Miu?MP)s@2B@0SO;tF?2i5W>JK{rm__S}(aMVX_CV>-8 zILErW`?_3Aa?&!?Xz6aT>*@C7r29VQzCdVgVkH{ z-)6o##0bqp3369vzhD0b??@6SGA1bAE=BV>(9Sf3-NwO{K~Hk`Ar$N}+6CZNLWwzZ|L{7s zui2*RG<$qF^D-`~kI6}Buz`#{@4ZoMI*R_Qm-Z}`4+K!?j^ebmeTo6{=X@)%ilqUJ zd&k_yNwe9ua2Wh8=gaf3Wt)9X8!0X}Chjp|@-~4cTmFI!3f@hs$@1*q;M#w|yez(2 zylM6r{HK6-_q!W{p}SBdh@Hji+V480VuGW$&)&4 zPnYPAAOMQnBl`4nB*K~%?24zZkTYaXcG8C>c>6P2B`Wd<>=lmUA*5bh$EaMgZUc8s zydL6V@n8!OYol;65*9;E>LPeJ<)cSuH=e~?({;Afi(@5&tSU!eZL9H=8a;d|gRhtD z$$#p+DGwXRipd)e zuAlqTiQDxWZ?suKDNzn%zN~L2Vx!jKJ^U^hAI1K<)yInGa6 z;{Eo0tf83vsF+;rpz{3mJ>{E)BlEWZu_Krf`;F^o({}dVpi5|e%lWJQ>{}c=ks#?y z4mTJTcW~aN?AmujrPvbFZR2aHxv&1L3P8U0BL9^8vn(KgZF5bGPCniK`H;G#bb;B% zoedsl0}*x+P413n%{ z<|PCi%2F{Oo2cQBd_!f%K02_E9@JiE9ts!WBbI$iEZ2IIO(9Hj&1XF$4PZy*1G)4Fc!z?wB8`|@RfzZdJ;tjE3R$fs53m6 z2>$_EgU$s5r4SFL69Klgr`rI4!fEbTIr65^(#;CeJnz()619IGsfJsrhD&UBuUPBl zVi4O4RUw~tXWsbSx8g7`0^hLx&M8i=PKItOb4Wk(a9?;Cmvsm9&LcT9ZR^%xC9L$| zV^L=`tzLltyvS5boAz<9^#UC-UbpxO)LYqimH%`}6RLI2 z=C-GIzLaMLPwZ$Me3hDd)`8LEK?*8K{`+EvSODKk*iBPYShg$jLLf2?MYlUi1-AmJ zvKPp=3bySy0~G*g$fm`$nodP}41SH3LjVeQezHmNDKzn;tdzJ-M1^3^(L=qh;LhVW zT7`0t-q7l|Pb4_;7YRIVX#&bgl5NNoSY;_kh8Nmi=0yuccO0D|Yq*Tdds0^eT zs9$6euP@u)DKf|yG8%n_as-#h5)W=_0NC-6+$%ds*2q^HTxsm_J;<7fKHCIg3rL*s{sn>0;g4>W>xR zD^l`!S=2J$@Jmdnl}&qCO}y(X#k6Id{y11PU5}H4v8luBdrJ3UZ%AWHmbHVzGU%XK&bm8{PvZ~|D6cL@m2=G z(1{>m0xU^@gz&30O)ZvD~Y6; z%o0+lCsGNkk&@pTV@tYd+oK800LjhLiZfY`^xP#dqsT1C(B0TjpHP#KQ1Z}2Uhzq5 z73zV|vG3B6L(QZrWNh<5s!;W6iZE-k{Y$tT6QM9|DE{xI+rWK?R*^5fRCK^{jCAmn zMbINXFeC(57r{xV;tg$&G|j-S;0_c$A}vlyoeo?W`a&UV5PO&mTvywSd+}VWU30JK z_|{elW(#CYUJ3AHc__#n7+(ARKoVjJ&hk&tAz64?|0S+~SpPfj2?`N;B51)E@8Lod z)eJ{g!oSg=bZogombAIp5uG`fhY<*{I741v8ctk$(8zukl~*;jjw*CA-|O*5j91lE zp}JugHCjvY-!0TMZd**+9>iiO=uFaIfqY9~?Bl!Cdnb>Lk`I&LPO?chgi4QIKriXJ zG934T5B5#n2o2YrJgbFE`uA%kIBl8Keftk_c4>p@9wTY*7TK9+t~RHOZsu3FStN^2 z%_zOX-Zg6s`N@SD(NoH6+-~@#%h6xm8`l+?l<3^dJXI&%#(TZ7$WJ{=KQt)XULx8O zPINTIzvcxVq|WHF_Yv6MhGx(5iGzUG3 zs5eGC6}TomEo?o-)TuRl%i)_CWrja4VqHnN^c3Ynbk>D4|D1kkACf?gdfrW=-Qjuv zw$2#4^6=8Su;8ex5i5+)4%oFLS(#YxA`lmDrg?vZt>z>8oRm}mB%%V4lV9P%@gX^Q zJ{fF}7!;trDcirN8dmmD8JL!ka6@Dpo%aGqzprtdMHHmGK% zMSh27b&(4#oTnBOZ<~(Yt(|(@zc=n@C zSEUV>vs34HtW)*&4Y+zXGnTc?^;(*|bPT3^%$92MbWRggcXC&YgmG{6cV3&UaW&Cqe#o6~HpwGWJ zR)YSta6kp+KqdT8RYp)Hl?3Mg&LRK5Ka~hEMhrRxI!}3ZG0MRf3_4Hno-;~b+>d8WILm%+?E-=v$fMWQA3+VgEdi~S3S;yI@82Y59R%ELb~PJ#eX zgE-m#YlK=aVcKei1vB{K4YTzWm$K1R78xqQnwzrPF3-|^ntxD(O`MUSzh!7;a{eQ} z2MOM1K6b)A+N5Gcufcf@sL}N{b$SMj&b00jM8(N+}M{g%jl z_gHscq2=o%fHMi|{O|yI5IqqcKHeJ@>MhRDr|lP}!xP%e?iX%MUNZSn zjOxMywZ>;#Z+5G;D=k6--WuCq_H<78-7(xR_JrD(3~P~)VZz3#@ko`H6}qAWvy&OYO4rYz(S)_pDw7{?$nkqp0{I5vOoClt2-9w30al&KCrqIs) zvK1{BjaLrn>jcryu_(a>;v>Ox<{w6gA=9$|;gfWOw(jRNw3Rum>^YidVF#wrW)LH@ zi~C3PMO!w@oVH211!c}Jx_=sW=^SFmLQv>3aH3G~F$80~r{X1)nsL427DZi#s{?>q z^tWR<0l}ZwpNQC6k~~o8R`cUODtz{;L&@gvI@#+bH?S*T3Hqzso?R8=P!SYi*&@zo zZqL2J6FFLb`2x$O#3b@BNa%nB(1>!g{TD`<(X$RT502ci(CqEyzrZHZ(K||OU){*LDh?^{2Rom=x8T( zt+Sw4LdMB57*1Z78F6Z&qq>0==aS205;XBB!5}|KT`QCLvHyfWI+6HFdy9E~KHxBT zwK@c%;le;O5G9n0^gt>|xrx*uye2!Pg|LX$CGd3Kg~6CY`6kZOy+U3G)pNAnKjfZ^ zDVEg<=_%FCa5`M0$de*23DE*YZvmN0{!@4>kNoE>Bu&afZYLNgR54A;4JL@Q^(cWT z+pi)Pmh#sRuKn75ozzNOt0w8D;q>{Pz4Rxsg1ue4i9^UXMzmWwTLWuO8PTvJ^oh0)7z>dOhh<94T1t` z;P^+Kj=$KUBS%sKQoV{}m6JyNZQ!-yvpX-@)Wt&G;X3grOOevMRX^<-4nO2mpu!8P zap6q1+_|r$At0cX9PHLQooBGRXG^k;m;~bLLz+joWhhju{i7e=Hqd|0l~{A# zPE~Q|w4jIdEHs}k9KW=%1peDwRTKTQ=-ZG;>4BLs+dxceTb^v<>)KffWuUFSpO{-h z5<$d+u;)QM-5^P0yRF$jH~EP?xDzn|Utke$oRIJDrW;HELEuopumqcbUGM_)=>lp9 z06NIvpU&04@rLQSirfED1C3s)K~ug`e0Fbhw+zo2YeDjSR>Yf&ib(V7WH-^#(&E-Q z`S3eE<*mR(Z>Dcqkt1BnQQIFrSh1j~)i!J}d)c`pulc;nn?>KBpxTv0{b7LJ< zdP%d#RimVxv+SnCf!DfN^6-ci?FQ-M26WA;ICmjQuT7{Exiq-snKAdt=_ByWT`;{+ zuCVGA+XsX@RUq|!X$?LEp)#j=|DI5k~iQ^uf&t)HviaBhbj+nOSmtJ zGD`dQ2ENzzC-;AGqT_WH;F1+!0m%PIwSd^U|EorwUYyE5(Fxjk!}2`Em5k!D6b<~U z=}^8_%y|3v_%FO|f;!3bMG>#zXLx*uI}WmT^|^;<275A?8u|fRF(bWTf~>m95V-sY!YLhEXriolH~MP zn#{s&$|!icVVx5EsA%;) z3os}(5zNszh98!E>2HU51GpsQirA992FSeM-T52>p=<5jNzWmfY)OSeJpDsx2jPodN0Ub zsM1K`Ji4#)l3wpL=VjHND$TCy{GcNVqF8Z%%P{RU$iaqaPyOfVfM8`w?ry-KPR@b` zpn_EF14z-pHr+wcF?vZ-)fIMOtlAA!c4zbB_pme>nX=ISx49pFJ*h&GQ1Pg!JQqke zrNt)pvK}1a3*TiIz8HR2=kEPk?{H-Lhn(EY&isj*1fMAIDR(-191Qrn=xZNyG5OLf zD%;Q$$<%aAmvo>uY($>ZyEv-{8D^#_=?fxZL`poxl%4(Y>(ZRhtA1Y*+g_~Ua(BKn z5^Q!9Q9I*ixoVEPqKf55eIShXevEMnp(cdXngdHzyU4E@OA{btQ7klRf7b&;x2^3U zh+wBjTPR2kziy27TQ2z=c7s@Aal-*qc2GLJ#dWO2fBxk$a#)a3d0KO*sLclZ8zgpVK(R~0uaGw8|A^QRD$e&se zTkoG50J$>&esH!#bO4~~at4t4kNscmhpJ5g4>;F3&^n=heozw=9Eh`-Mtf&mRNc^aXVM;Y54OVaEJ1$QM4iUyOAcYNa?S%y&RtMbn!| zA>uR%cB&_}P-sx7_-jQ3sQxo@MN*vbUBjxz_~C`qBc#^G#_Bur+t#xls!>e}^;uPV z@igRl1PbBJAgF#!y}XL;>_3v&E%`hlRuj2tz3f@n3KNubm(4hs=E{WRA3YS)xe}}z zxFHwKPqu{=msdZWsjG~g^5FplQ!47SP3mogr%jFF43CMs? z^1k}3g78mllMoX9M^vGAAxfp!7U9C+((2&Dfikvgjf9aGT7Ld)ne$U*>L)HHGdYII zZBXO9xIqzcKJ`yjDVFS4ga_YEEt(xC>ZEeNAEfqxxiBP)mN6-J;=~4YARnG;G4ukJ zf?tqeyb9Rb#V6_ve@!29tUwe037#IxnT1im0tI)IMj#fa%`&sseP^f8US`wUNIVD%baJ4Us3SsaKR0S@a1FA zKfL1hh6+fTyx{zM35Q`ONUM3VVIr0E13|Jwdo00dasFDcEfze|&FNEQ6ZH3vOrv(G zK4#S6^=Me%MCNapQ4skILUjM&lTi`YdL$tcs$1I;+l>?uveP=4%$@cpZ1S#l8ulL& zhA5a(nr~9%;IR?E^(ajApedjXy@E-T9wr5SUl-Gn*EDHgBxw1wa2gA@#l;W%n;Qfx zhm2u4s2{NMbBAx?;4q>&Rh-R<);&FQ#2M{C#Q&2ZHZ%_&xGgr}c{saYOZdXMa79?l za99p0tupEbCqTT#)fWW+$VDo?c^E&6L5G0|eIPZrT`0b-HB>5va>tWmE=BR`jB@*S zT(*=Rkv_Vod69InF8X2afF3f`y9yG`BCq5{+gx8tRi(M(l9S6_)gdi#vK{RR`tF!I zjYpl#Jkuy&xiRcJdIn{;<8hD6){!Ns)1f`CNSxuTrMtnf5Hr)ev$HfCakn3QRKQ}? zl_qE~lsKVjP$i_d#v#q&-J-=D`^8fi#Od9l|30x(zg4!g!~BDZ$C9K_9Y-bwh-JXY zU8ZzWw+Ca$yWxBDrJVz^;`2~RWnN1MGCuwfp-o4oyK7^WtvIRR^zpdGr&{3w@chnyj zd}XeHvE&Rr6*WO6_eNU^7$TOqC#4Zp_RoJTrW^Hdei#P zW;vN7p?>qoUm7|Fff!4G&O`mOcvGc2?_Wa}tw-Tzs=sE2WV*jOg8mgT<2n0WP035a z+CmNX;0gVbZ8G{RfF3jvs;PJ!`RLfi?uWC{2$qg9arndQqm>6X{J6EEHHGuO{zS0C zO(}U(!(VDpF4jdTGJAO;lMLx4sS#a0*@6w~Cce9!fR64Q^KXd!EZL3+4y9X^SUz~5 z1pko{yc1uf6M5iKj<{l|Hnju!Esx4}O%j@qwBjM#ZwrHzuVNrSYTF}6{F9Qt^O~$> z(dIdfvlqd&Xx4B3@8HepD0U&6W(llpF7g3LJCU+?OsGUcpLN=1EO6q}QQW2^xua+s zNd!l7N=!4_>D5LaD@L^{Mw?e<`G1$UcD_}EbbOnDd6y#E`4)7zvcFF0>0L}H@FG$F zyt!N&der7^g}Hz@eU>=_<@25?Y^lT#br|Jf--faGqDUG^EqzIZ67Uj}T9nt7=zL_F z5c1qSOyl$i8twd5dRCG;bZUY~ycka7Duea7GcHaH7hO55#8hX==&6A0c>cu@Dtm=v zD$i(kgguHO)pq2uy3Ezesj3)3VG>S)Ri|nW)<$zFU6&)5*svrD!T~ z9=)Fqvt%~VzwyZsJy3t+kiA(1-g4lLp;F|h^WZTG0@@M_Xj@!J%98PT6Y+Rl+0V&s zk1TV8iN=xhv%XMWX7V`q^Jvx8TrTc_h?l$!63y zE);}I%I`q5eJ|A_jkMPq9XT!$=9*!}HTWu{{sm1{YGK89o;kvc`1skds%-IOGjq91 z4|l4KA~YIv%Go+Z#bi+r-Njxc79>41%eJv4HKrXZmVAp2+85*Xn{`DwY$q%u>xKFT zxq7F;DYd7$bBrNxXZte^!x1Ye-&W4D?67wRAp=3ve}A08g0GyKSq#M}7)IUCb_c6z zT?`uKOk47q*rPTeZ4{pJr`BGWi~ze6e5uv$Gm)Y&N;^R(u+y_s;JH%9t*mZr`K)T0 z^LO`}BNOSYYVPJf_B@=XBvT2#!g)=vB|Oz7(I`U5FYH2mxMhXn`3QljNQNb!@v&1D zhXZN;l$j;lR$sX%Tuq3QH174a(YcpWcwjPYt1gizmiP6;hk=YN8BwA<~nKLgiiQZeUgndkxJ^R4bODd;!{aID|_))Y#Ay_z`MV`d4AnF4ucB`P|sm zAd3m0325E>;_$HYcDZ(v>%ZveLVq6`UJCgQ7sa9m2E9;Rec)IAzuRY*Ry0f4SUSbT zSC`NdZ^GQB3D!NLJXtq#Ju&;T@dO1mwROw1#SSQ>#OBoFTz8)GLPt2A4K^Z>m{tKj znjK%C26F|G9>wA_K3OT*qJtdu^rlGXGv+hc^}T{3-=TJ6`qw?mPK}2eFV7X5ue==9 zYvfToy`6u*`by*94{DHZyM(SC8jYT=&Mcmn7O>zY1heuZ;N@EM=;q=g#&in%toyn=hBN4o=r&ST-Vzbepr?-_aJH47b-n7Z;4!Q zrFSDwzZ#F)=e#|9;u>Kf-5-Oh3dbMC$RQHx;FT)T~F0E>-rf6919V3A3v2pZ2a^qxpB(q)N1j8c6t$!n&f|hu@>J7gBY6|M+$HFlZ~Oo3TO=oBaKY>AT^aFmbq( zU$efxpwP~U6O76I?Y3Fg_@$9+XU1E-_WR}0{`EG3##YZk1gL3r6glhVeRlAZF?ZA% zzhfLVHhR8DMnpoQ)?5xw2kEsyxtAZ&(x5 zuOf|`jBO;G_Qc(+Y5oEbApLnmoR{IwF*sMp_~eA2IGF(bXyxz(Mz^VE)#m>L-)C%H zyoM>5mr9LMHPnb;lzN$hTijWmYuPqSoghlG37KRF_5;K?;?p)xU`0gYJIL8pLngF< z$I+VwK_Yo~~ zT+J5e?<(G6`RL%37u?4n6g{vsOB5UivFEBHOy+hG_*eDIA+lCDz9e&Wa$ytCZ;CBqt(IQzkkE zY$-=fY(aXFYQ!&B+szoVO{f;5TIULN9k5?bE!IfYiCW9zU~}Y7@At@E%|@EaGc8o0 zze(%PfrFghK^M2f0{di0y+N8cHr$J-b0WEFEmH*<-$i!CE}RodA0Vz&z%`_xP$AU9 z_rFsw^vRsnL2$aKlRzMgR(bk=(C|cvSqJ};iiI3oxKu&au!`cl%wb?8W!%ODwTF;| zO5#O*0@B`8_}9HS?yyueUcVd_9)muRJZCcbNX^es;dZAne-XFy&CKpS40}(Wu}twP z=>vg>*xixd7WILxtVHT&=G;YuWSgRtuFGMC-E3TyN`DBGl#VUYvbT#$$?=mK%>}DNpkEkt&GJCU`c9z5CbBXcIe8v2Ch;~ds@f)?LE~b zo|4jIn*G!%Jk_ex!_pC|lHcof7fyN}b=m06>(H>1b7N=o0H2tU@(6vyZ2UqtH}_~v zk>-VlXX3-Vk#pVq>*m$d@fqlP>onx<$;sF8?!Ehn7h4L4JDcS9*2&4}p0qwSt-Q06 zA9%^!Db7=A>Gw`;L4HBcn&vFmn7euDm)~Q=_7fv!u} zkKgucMb}3AUwn#X_=A#!446Ebx|+Y)CK=GaOFxEbHB8@+5Z+J=TLe(w!y=eTGTC1j znbg9F_7~wKe~CLfeTV-c2z)$^3IUFh`x*ExTC4?E;{H zkoz4(jnHMnAQ5)Y^&85Sxsb5b{R{5W<_1M%AwSwaniqooNLU}pcYR7?{Zm){tjRnk z`|Wk_2B%7c1V{55(NC0YCY+P5A5^eV46vi3B;i3qb`sm-g%W%264S%jzaQr)5Eho= zdY9%XU@u-st{Q*r+oHTnJTi$&m|C5_t>j644IrXGa-XyFRg&4c`19)_!?;l&+UBZKG^hq#X)(rr~1?Gu1{Uuu2|f*){OC@D9z!}+E$J&wRLgq zWajdQc0pVXQtEtp|64@}pjOCLCQjE zZ)AlaAi%8d>0rvNrD|%%EGwZSz`@PU#?EbO%F4mbW6H*3%57-O%fZcI%E`-Z#>&IX zA@u(}B3S|vlMI2ASyP9Vl%14K?>~c@Y@D3`HA~I8=&qc&gg1Eg@Y8#%!~e&_@83qf zOy8@ts(&Cm))?6rFJhrFgof*3Vo7#0X`Di1dE>ZK?I=>!@z)KUo&)2@sQ8!06}e2= zmZDlN9(Z4GQqBDiTJ8i7+WTu6PId;tM)zt_zQe}+wc!n)Xm(7*n4{>Q&Rb^`0+6dK)pHM)_7VQ+*dcje&F^ zrP?MXj1+gEp)zDc>D}THEBEKxUx?bpS(?jZftEI=%fq=67{+~tnss1^?!Dpxr``t2 z4-WG{7j1K7M6)|kP4q1Bz#bUEO!PiAgRwi3G4=E}OO%5uwD*&l_B0RW^@B$pp)30s z#s&y=gIZET${dj^`(0C*HKvVkv`?POTaC`j^n9U#e3RVD1_N?6C?E5;Ho!2nlU-)2 zB?(ofa{BDl{9h6a{bsA=^O=@rF7$b=e2rmuhdS~0)C-BV;^k>uR2#DETIKFbR7;XG z66MUD+_E6_u*$+UBj1MJUGE{C(7U4L1?>34k!AXM)P@Z!l9tNaH={THKfA3l4c+wb zXS74Rt)mTCSFa{<0l?M~Imw=^)K@gm44F421*a6Ce!eGld_t@XhULc$a1YqZ6{E7z zYZXU9Uei9Gy-LkX_p{|{zo9i<@J|SEkdj4s;1(oI&P!JLCF<=Z_v9FQa1wFMT4{P# zLD!%!WtT(N-LXT7HS9b}up#*Y-{hA_ium$EABF{aH758(y@}W+)G7cEdZxtz98H!my_5~Kc@ z^y}*Ow*Kf{iHuIz^li&%FL&Fh#NcnZ7j73-4>xWJs_7V-81pjx;wD_q>zPXnfy|+||1+*^i*baM}*%i8O*gg^%2F3zU`e(hvWsl=A zL(PXo;yFmC*YwS+CP10-UvD}3Kr~m8C>&K*eHLV{#x~?xVOM4xY18A|sYhK5n3E$< ze&UGyDbt0KcJWi=8>#tt!*1}5X*(`SPv+5q_KIwI<9KUiTRK8~p2LpjjRJo5QaUW( zB-T{hC#li}f_<7iKzFe5_2f$w>jvg&eIRT&2G>f2*XJrj2vltf7HG92kY%q0Im!ST z4`w_|>O|vQuLI98_VFz4PyK7Rx!6TC0%H!YUTl}fK@=b(D-3-#?0tR_h3o&z41C5V zFFRlY0mh#XeGz-K7rEkqvj;f+6&cd!b+W~Uvvj*DUWunm48cEz&)GMYkVn(5XJXtihAhj)8!V}<;9Naaiq|r=fo5;Nm~eWM1_LKUNL#jz=q0BrbVo_EjsyJXG~YR!Y!VRA4fbv zpb5<55+39tV2w-n66YYy;nd}52%V0G~On>ptxto7LdR~9I7yvzV+6?1z%q+W+Ptfqi0&pCca9PBMSAwe`fmkH_xkaO#M zyjO6PwBW|kgRY1m?7?rp@coEOhRoDr?;g+g&}3y$$$8~-DfJ_g!6yA&rUNRIlpr?j z6$^3q&MWy^Rg!YkpQMyvV-?LQ-%qb8n>SC`W=08>D^>FUm;hwL*IlwC?Wpi+UeZQY z!*fwCeD%b?GnjeXh0xfhT#YFJX$5PiL_O0|*Fa2eN%}lhRNX9uKg3jJoUC`vr_ldC(j7l`jXeiC zJHkvz=t_0Ke<)R_XW#)_&sn)Hhq19 zQLoU22B;qsJPVvpd5=L@bBI1+|LN;t^=WA@4{wX$cT8FrQ)|WUBVHR7?(<$sr4Kz` zgU6B`7qc(3G;=Q!9c^>eTZ}3fr^{Owr{P33i1+Mv}{f_d=7u)>U>B{$UU~ZxRp{=`6h`h7w z_4~2T_6rkP|D1IHL)A@TCxwvjx09-vu!|i;HG{;Vp(@6ck9961!((Q&KDm9(q{F)*=>4Jen9w9MP zi53nxtK*YfcG=F?RusrmVil4(X66dO=^DIX4`Lkf?2Wm0c(!+34Cc5ge7~8o$U?Jn zUlz{N{!aJjX-X2jwATnwO7HGge5l}B^zo>LJ0l6Je;N*7db*kg$m!Dtj5wK4V#`=f zq((KKUB6t|ZX!8X6H2j>Kix7{WJKxCj64py-JMLjz3w#Yf&MNLWxCe*{X4BVoEE9# z3~x+ti4#pia`sGL$7Of=REoAsEhNM(OcXF8nB*_(VGkJDiI`k47Gv#qC5Dff>6~Nm zH=2dy3s>Ea>}NCs9H2+mvtsW*7G>eba-6()Hsx%NDWatA&Ce<+)MP4B^?Oo%FEzk2 zYi&i5Oe`dksO3&R_~rQRlp>YV{&Ohh6FaEQi;YuF;6fl^2u|0N#7-2MNUib3)Y!&2 zbIv(ZshK$N*ux-6KjK;plc~-^!&o@px6Gi+81AyDSH$iCT>o?PkA7Tmhi}v6e2I+k>B3;r5Wujq$6h9;&l!@Vf^iv|7d8w} z83HnBxYH~HkfkDpd`%~^uILk)UHxrbovY#a8VG$_YeSP$8|0@sgH|Dex){MKB=cdM zF#2DEedFlj)qrVEjcYtV=^_Yp_>WH7Hw=_?-=-dS15J!ppzY=~jmD+tWs@xp(V{t7TH=F)NE3piKcDE~yrE%gdCy$~EWG5wElxWQ6BfA*Q zi(M^tVjM1$E{Np=cbDNqK~fuL$4Z`iXIfF+$NGk`rFD-@EC|BI>~6P5S4Y()9;%lj zh%&aKh-FY^8EbR*Ek^loEkT69WY+~v8cR{TzbQIZ*Bi_^bQxKUAVL1$HR7Ah&_BQ- z2~zK4GF^6k)>KQq6Iy4wB-HxwOXmdC78VUDXGY5cv#dVqUmdw1U!3w*u{!O zhk=cdU<=^_ilX&y4Qx!Dk<>UTC?&=L9a_*TQ}Eb(UleE8{}64+fg6qnnMzCjEcYMJ zx2F7t|HCu34o7|EFeE%_4Io~?up6KCK{`hNkrXT?)t1M_Ae}INy?Ktp zt3V3?&Uxxfr!U^sppjFm5xi9VmundF7!j=g8EF#lqP%z{<5~={ix80(bQz4cXov<; z^j6`WN)^^Qwnczg`sXyv2rI3zccZiAn(x`yoJQ}5(0Aa+q5Tr_=&Ao@@W9UJT;q+p z>EFHk?!vfq`VaP*`x9H&4}8AAPvx%M!Hs_{@}CMllNWyl^(nVmM2>2N8`D%DJ4*AI zwZS!r6T%Eq-)^+eGWLp_Tx+yGvVDIYl>UH1&b;fuSvVxAE21QI|D^?R2?@cuy17`G z*u#0PU!{-79&n+E+`PekiLh%77t0v$4uZ&nw^QCSj)`WvPLMwQL}1X$m00_3s`5`3 zA-0mFo7T%NSH?Je+tq=-84pqb<{klKty=8?vnv<(Uk~+f-_lzo6~>I5qiTiS_sbm2 zcquQ_&aY4Cd5sY}vBCgZrOxwmQ5|Dk>KCxSSdc3ioM?g0L3m!`9UhTdP@Qcz>b~XT zy8xb=lc1I3y(X9SW5B;Cr=8reqe8of$&35fqjlxO5L2TV$r2#!c+n+`BEzY72T_nN zR4{7`gv}o53;g|$3Rb^M^W=TF&A%CWTkb7?yti940hu_^3rN6@7Kkq-kt4%rYF(7> zK{E>pk)Z<2+c=nCQRzq3?eCYM&URS)h1^o0=;o3V!<9N zicMt~yDuqSu`rUv3u`$6gzOaDl83!)s+kcRFJZO77tr#wHk{fH6>V(v3hKN=WbK0ilVutUF>wdTrBO-XY8wh zm$bD#v9F8VGz(|lW^_|_0xnhz{ECIl<1eb?<$?kEf8pl5W{;F4By-oSFaP0YrDae3 z)NeSxTvSbbFShZ^sB(pJY^jVgHAUtI#a!3mbYAaMr2RUyBxbD7y6w@FTv7TS$Q4u+ zZeKmL_wpxFiD%CuY=}6tRgLTL+4U3=XS0Czq32+geM))!NotCr0N4^8G^B2dg9m}3 z!{ISWzri6EKekE^YHs~jmT1|oW9)EeIyOFuk4I}*oZcGaIbN(%l*Kpc1u?0~rWAmJ zu}_9|6%!sRXx;*mp#5?LtFK2BCr)P7W)AG|YdI~Y&qkFDb5V((Uh2+2BDt3f8Yye~ zH`)JLaE1>PmL7CR0D#<<2xp3eZlfaaia;Qj+eVZk{p4Y4`MqXM$)~M!Ogwj|<*upo zy{5RU!Cn8F%0;f0LQhJK&+1dBW5)W)h%{(Z+)dw(!{wwRC!92wO)0NjW?%hR{UUCO zH~wmZfjz&T?t%D%H7#XDy@1t0q%isWrl6iPK}0j7a)}a}RG^0%SE#eRL7%?HRj2TZ z0K?L;4vumuXpBH={-*by%1x3cA%pTqMy{UAK-8qf&Z)IG?M4{zf}KfHP?Dsgh#o>2 z7eN^Z`X9jl1NeV{_z#f(0qQ?Mw^GKzbmIcJd0GB%`DoVZtik6-xq86-05|3FLq}1E zkU=P7cj+Vv%dy!X&YjLu+%nd3lT-RP1!UPP_Ue&#%<(EBdy>^;0u2}-2wP(mal%3VzBQz z*S6gk@Zya2(=sg*{-k6HV?g_!fYrRlxB}s^KLhw+4rIV?t$3{Uubji`IS%4z0HW>E zS^55L0+gt+=vHX_gwZV0pF@f@Td7E`e3?#1M#6YcAAsB?==sb+m%1!m+5+7yqhL7W zUAHo^Y?zTM zC$8N!h-*$zJEB^U&kmNeY{PHHuDcxAd?0hwd>@6U#bNGI+|h#wNFRG8C}jp?va+mk zpK@V3M=%BD0utI&&#X{s$Rbx>lC{GId2_#B)Rc<@3Q5q1H6Y=x{5NKEr6D7lMm;N9 z4{%Ie4RtuZsR9%k!YZ$Hmo|16v^ohct}cBy3;`x9BC@2>Cb;Zeq3G1W{!G6{En@v6 z8gow51I@;o&Xnh2yNRzbbl7->1~FSrZ@AA|zYOzXfO=$8vM97((4=TaM&RS5@m|bk zBq40N`+P1c3Ltxu2D5z1lv>WP=w7g(!B;_Zd`{Z`(HGUINTl0@NzP} zROH)XzA)zMQ?f4Rl{zA!O{Hx+Lp}|`lwZnL06v-{)!%s}lFN;WQvUk*%72a6FT!>5 zQ97d}KAouj2UVt}rS+omtmG%>|FO$eEkug@;;E5A0ftxg8XHVSMElc>QA?74T`*5e z4nW(ZxNHlCS<--R6l2IL@SIE)oZYv6N;)IC%Fnr3b;zOPyD=X-2;?=tL`F)Mm@Uba zSfsG{%gBneqXzL36xscWM4K3+MQyeODGTglCCAIe9P@9MK8&T65!$5=ByMax?66!f82qClkT z-@%j<`-`7kCZasT*oc|@qQ?arWqS_&&yX-w!ew#u=Jz*tuq!;dLc-#&mur9^@)q&f z4eP!154Y`g*qqQo&TeZYs8mDAB5QJmW4ja65#W(-zgpWoSBFE1CIvKGO%p0QTh+Ag zXZ}X)zsr*>CW10o4hXsxthb$DP6aXJ6|062&<)XF&yyx(6&z=)TfZF7hYzuK zxZ2`B3Zw$7og8_s-G}vND7`I9%w8Bs~lScN*$U2-)0d{kWyAnJs4o*Ia`kY{LMXp{8Qvguw&dV ziDjlz$FSv$zKvB~FET=jD@Z{63C|{tqjImZ(#9vLKnz-u4}SU+vBa+_6r6u{4^)65`53^UIDC{d} znI-f7nDlLl8FJ#C9W2+hnGn2PE-GV@{~^%yM5S+HtLhKGL9)a@lXtPZFs2{^KbvgF z?}ZkBtFMT$ppo!<_m?H0kVAv0lFrse{NTNc3h^hkimI6yBCSm)pjXbQ5rG`hPLP?` zvY4=kSvIYk*`^}j@26{O^hUm%=Spq{O@xA*{Ho0$Y?fy}e3l~-6~@S(ROQ=ikxzxk zfuFFnG1D#Um#(*A*IT7fItuG*D}K9=v7_4AZAC3#+s{uzb%z+vi6i-o4dKk#b-e(x zWWw5xv+&O&vH2Q9D{37Ky)}TFh4uf+W41kcP}T6aqGAB6Jb^K9fv+ zL^f5e*MIuBSf9AOjm;m#*vCgR6V21)g-#PFOha&oJ@h%zh)pCs=~e!qWOa8Jg%-(% zBfjs4IU(=Zgo7b>Gwl5q0FqTJFme$0pe1DgzF^+n1ju`<@tcnh+$MxJJXs4BV5l_& z8APyN_{9Tq20Lf)5UT}}7fT7@WfpBR+Mg(Ri$NavEjOzb!`1)hx2-CQc1l6YZtNDR zOQ&dBr)lbhOTLO5C<4VEDJnj{K5;*A=251$jP6k_CGsxQ`vSuEp!nUDILFR5Z zZ5Zj_$#i*nPCl2&GNs&9F|EAU!FM;O9a95$mvvTDe$!T`(!O&o<3#qqR9#GfFXQlowCSz?JAF^IriPFyE@Seq z%xM%FUngD5Od|!FX6+PGR2H$9M69I}?V5WRshwk4UE-NctV(qjUJ$|zR}1yEh@H=a zqx{8amG=YL7b-@w)mGF25*2fVPjYV-DINaQWpgayGb%b_KlL#Qy(-G+_y~v6+9e&P z5Y%0W4>Jc2XH2?EOhxfxHEg8 z5T_(|Za*Pw-IEyA|CfqwKii#YzN?!^n=2=JBw7zMwX1=_*(Wo1yv*`KV*J&#u`Pj^ zyt0=>Pe+pk3}tOFE=1bjLKdH_uBkyTTfrsb%jD{4LWU7O=5%1nVVyHjC1GK0&_ip4 zoU=Z8om_Fxrl*;9yaHlpU47F4bqu*5i#Yre7(@vxh-hm6_{98qv&wL(zAwnD;pU&sC2O#-y^+UWlO9w-aY#1V!P_(Kot0dN2kugo^^U1}vUAT!iV zh~2Z3@b@_-IfG7Jvnea=labDiiPV)*Muj@f*?fN!Pi9iRw#N-sSQoh-L6Kp`(G0=p%018+PG}MDLjw|viin=vuNM%dS z3+EeOrR2vkTbgkzzZcPN%i&rZGHp{QJ!A(`?Q72Uoo_)?a!|}vE?CV>N`ddHE)))3 zuS%P2zzp{fJolc#71KYM8qGPdPR*82Y67)3e6-M@rhgi7=Xfs&Yhlr*c4aR1_GNm~ z&vBIP0BAvRR-6O#C@wx~37gxx6l+jBf6bx(q&P3M7VTB3<6wxCEiJw;;A|Wl(jCb^ zlok)^LI37h#?;dne48gHug0Z~upgsxMy+$ykeLsjf0T+aXkD^7HP-}fj}=mPHgA1a zzC&ww-|YF>y>iC9G)N7>=;XQ(Ak@EV)~c4i0wkoe$$s>ii$Z8imb@*$YuFWVt2=+? zc2(pw9;T${bfv8rAdA=LKv3@#gAezdG)(}-wIjgz7ULd=lZyi)C2AradlB62kK|Z4 zU$FNIZB@Nh6Bsk?o`)N4$7)A9vVz~tD44mZ*~Df3Sz=E|-3_r#W}X4xEPI-$a_nO< z)a9dLtAGF)C|U>jwVRB#XRs^utLsHiw3=+!b;j!rhOHO&^~Vq{i)!C&KF_?B23^13 zS2CmGTv@1>m#XcB#qzJGm}RXw4}-qvJ9m?vz1YR5H~;$r*63lW0Ia;+y#H6HigkEn zDY+4*{r`6KtymicNQXv|-~~exqH+m7mQwbr9EO?0H9=Wcqn7b+h`!=)or>bJ3GYH+* z?eug`<7kN0J=Fkx9SLAhgJrR~c4 zsxW`>lBXWIFXq+2DHUDjjlEwf4lGbuDBfZ=aM{Al)){2|t7u~h-@v{e=*joD^pKCq z^bzVew%iaqtu-C>DP%ZAh(~~~ClGK0Xh4Ak&!g`4bJEj{ZzibqZm75D)QNHvhmn2!;@iRH0HePR$S1=+uLIx?WQSQHUp{ zaLAiJ`ML(`rPI;J(Nt{u$v`fYJ*K3mH+CveA=O|5fUP!W^KXkk2v-Z*)kX+*Vne!n zY3t)x2eDM&xRrL1!dEg|^I|zZu!;?q3e*v}zy?bJN(6jmgQW%BDF9Zvf)DWqkiT&T z5x)Y^`wThQ}7uJZ@ITM z4lX(yzb*rMp`>oT*(O)IS`Rs-CPT?<>K5unOqesdmf*jApd}UjnS_|(`5tr5R#Lhe zP)y)Z;0#TUC$_1y+1S4-In{p;d7@mGX*>- zv=68?N`1^!6OE^qAUr7@+SpBq;RKtqjCle7@FQ4(cOOkqux7nCN*42rwo4V|6fAf7 zX~MFLAyfg>V_Busn2%`6-&YOVN|gKbcV-#>9OmetO{Cugrsp`dYQ6&Xcx;9H^(jBB68kaaO`DrOq!IFF3S`jnJ;r97dRjn-R_psJ^RkRxlA_@j%c%}?n4FXb3sL8!xX6`w+8>90kO$` z@nd0x`0y_A?LN`PL{t+F+@Ka)J`q+8zJdXhr@CXNn3R4Fn8n5M34+ga33CP<7| zU~B+B%#dUxIxrhy_sGCYWMGCgWh~>za>n*E?DX_M@{|ghG_h$UQg!z@S_TfZ1Hp`u zvq2FQ4;SqZOI7I$sZE-|3)A=#+1x3jTo}T-nzJi==#;v(-sDCweONY)dp^dBE_lGG zjfQNZW8|!qvZ!*XU|o$2YD4np`CmL?H-cq?Q>a6Z#*K+H--X#TcSG2=1E%Z-{;q|d z{wKQ2#Ivv|-|@az3Z!zTPH)ubS6k&(S?5vOBO9bB8OX}pAYThH{Z;pQ!d!w zfYR8JSjg7<-uB(+Mn-5q4?N#=U`h{Br~+S69OcTTz3JkJlSld%Rx4HB%$-H!eK*mc zop33*<>&uC- zNi(oA22L*$$$C%j;9BlRNxK?Izym7_sD2z|`9s))R_6onRc<8IixLScD`C&PV%%yh zXn=8+Qm96u8A8PLp0_seX+PbC1j+=$?+IQg!idvxOLVT=MWkx_w>{fAWGzAf*U=pq zT-s}JWd1XvnB9;m@7!VY_r|l~A4O-mamCVQIU5f3mG7bu+o+LOKDBKJoYHI~Kr(Cm zZh|k0OG}FXN^pgfBvhP}Zi&e3l_W;{CX6a-EwwO;$uw~^3F#ag7gzTT{Hn!Sihxp+ z%{4Z3^kb^x#Vw@5XZXV*J6{AL0s36@k@uj)W-eA#htGcEtYr^h)3uq(Zlq{Z zqjS2QB+iEkw>!gH(*ut&nyT#?un_cFX!>^2QSvHZ_C0_hH7!wcAMUuWB=ktZA>;2@ zz>Y7mrz4r4Ex-AM@&2u9>$hr6bhP+2{+Ky_fE_>BSzxjEhn`-dkghS?slqQ1Cdwt6 zOHaXa5mAq`Q#8z=3rgV{i)EgR#PUOk`vSHT&%$PXFUF>jG9TBl2FgnVa7LI~V4Jkz z2lE8|?9&ECv@C^cb%64o$Wk~IUHOl2G_08@L)plrBr-R8>SYWc1&6N{04&Ln75+x;{rsZDN`DIdz9 zhX*P#S^&L+^P;H{!hbyX4PlCpG3`Ri%Q>FZqa<8PRYK(2xvV&M7!W%cnP+5>ZHVlE} zYPsz=z6-v_@OaW11kW4Dy{xJNU5=td*;~KvAXNBZeRSLgscMo;r+^)W)z&@cB6r=~ z?uVx*L82|a)~AdQh!xrZgBdPR=UpI%-#DHJW9FC2r9F3JN5Si;oha#t47bjlspCHM zL{eaAF3YVf9)6p7-#*rpQ;duTdkI)Te;%gx&ezbPP}k(P4p{u`T;lLlwf_ggIM{V6 zl|_)@IMX-`_s6RdZELqpBcxiai~=)7)B9xF&pSi7Qhl2RP;{^75%n%oFO^=RFX!K! zaTJnM^-|MeP9H}hFKLzBR6UV&+g&Cm-MW?v?s8P(@m@&mx}ExxS__)9Wl9KXJMof_ z!mJtM1fG0dY$`WU%A?o2V#|!^NB5b8VA?QYAIoBjbt|<$yvd#@6LDBeVu=ZUsF!9X z8ic`JNZLsUc=8SWoPeS+CDCdeiEOZffFdXlli|gxRHV;_3+2Q(lr`A_)k=OAuU7)? z*mTogG}bCB;nLu+g!2sFp#CVh*3PyJzL16Sb8Z^CeLNjTgx%`x&IW=a^zuB$s|tb} z3jhOmO-=5eqBq4r)V8q8NvY%#=%A;h?mC*<3vVI;x!=~2!T!YS;vNS|9@yV{Zsp3f zm&}}Q_72@B0(?v&0r{~rFe9ZbjS+oV7RH@#M9nVAkeH>IUVGUFx6K=2pKu7-^GC`S z-j&>ommCtsn$YlDP4CZ>yU*;;YXyeMVU*%h$S(Sm78~dvQ224W99)0i5lbqdUGL2~ zPg*qrtpfKt-AqW;0wiW&o-KP$fh zbicBPrCd~46}i3EQjSqZO`KU$2((HP+qYaQ({Hads|m*gQijz%%#%kF5=BR;G(8{D zk(Vm$@|n%y#1W|K9Pv5~V8lIHMq8WmwcMEK_TroObz@&-#YFz447P zP$?cERI=CJQNf zbIidB9WaUn*0F8jEqSu{4)=JiQ+9nHw3TYe7G1jw##0&6x2SakVSji1uWSZgbGY|r z!ECGUXL;Q80|lK#g}k0?F)q74>CXHj9gbelw+x*b^;um|>GXbo9fWR=?-_JJbw<^E zlBNu}T2zxmMP#0sTMz=Mz7o%pH!#-Fai54ZIPGpKM5ago9(g@x&$LI4`J;uuj_>?wgH} ztl(}9bU;w+BGB8(e#{AuYV!v`ffy5?Z?Y~jaFHmLz8I>(UcNIL5tcN~>bR^MPAD%k zpQtYmqsF8|4}5bLcbti8{c6wE&NUkrA2z5?3RLWj1=JLpZ?YXf)LDYNU^lKU&Y2ti zbIJU5^d-Z90E->YxT``x)zka3;)HaO`Yx1{f{Dz?sJ}WKr{wDg$zYSV!(0sL70z^L>&A*-G$*LV zA0B!7{w-YG1-UoW_7gx({+P$`3T9EO>aqJS_nCM*K*Mw;^b5#5CD_I}v; zj2xqeG_!=NJ&6{QBdqy;mp0eDV(lM6AFO287n;+O%=Ej3!7pJ(?6tTFT2@)9dVYM? z#ayK@ghKfPmGQXr8)g#gyYWT)t0sRQtG4p#a)~}P=72xAz;7E0_S^Ew7RRT$Eh=_f zoTIMIXpSEu>*hlDO>sVn!|0Cb^)SKX>}HeY>{AaI zr0J6s2Qyq4*~of8wWr0E$~<|EvN6KZhn;wROd8wQU@0aqxspU8VFhFdBWHU?LqqE* z(}e9->|`n{KVQjI?*0Rc+C$atr?HYs0kI%8Ty^B-{A00kRxK(-_y$G?u4zxrI`*>k z%LET;l`1EQIQflZwtNs7T^*|R1t`u?@?{E58Krj^R|bevbk$Z4)cO4R)6ie-1tTZO zG@4CMFzp30O8tb#^#FAego8IS_egYDC*s^=#00ejaa!=RG&(d-~*cyO_KllRaRH8lcV}y*wkUNES@7flObk< z&2>k6!TRt}ERbv8?`ts?(bAoR8`{c!-FSM}np5LyNIHI15wK<^d9B4GXV~#dnk;Bv z-b97i1GwJMa6sq-uQCuWbM6Xp;MH4Y4Rv}I&hF_tfJI!3%CZza{Y+xAr?Bw0(Qf7) z!N=nVdRnK@#gXjg_A&!me!|ULWSeuoRS)82z?78FW-J%_(6f(It0P0iE{U>bg->b+ zFZM8iAr;$N!`Bgf{&Z1CiY2Y2RW~lZthQSMR}+&*1t6W%B=!bJu?_XhXobtQ{Ws9r zRaB1Nj6VYu&^(24@;vnKUR7mz2#|XeR+CdHWof>^g2mS(fo-MYk>Zh6blZaH&UQK zvY9jK3-F}BjS90rLhUlK{h?$2g_@p<*CNrCs;zUS3bhrzjkR>-(gri++dK5AwOwyi zc+@c8Z}W3DRw$w?ALwAYUcF)aMaV#u*f`&9|J94%)_-HU$F=%0ev2oMfD^t=2- zotEO}71*b`d7PEV+R`0ruq3Kcx0Uy_{g5xt`N9Y|BtrcwCh#oIjkgm+mq^Ev=5!5t z4rtG*E7jA{!-}DjslN9OUS^je2TLy2HR_86c52WiH>Y1~8=?4D>PY@wRiSHm{?1FC z*c)Uij^^7=#hw5WBqSJ1f8BEM|zkOGBYMwbxKNC z+FrWjyE+n`x3Ma^^vO{t226eb^-kUo2iQ`#KzgmN=DqA&!D|aX&9!Sc#JA2Wdmg&n|CIU`s4kySrD6 znx*5Jz3ryTm&q!XNjZ?QQ#&ey(G#B$0A)}5S4j!Z#?1v}Qh@aX^#N8Yz#0J{$xg*ggG*tmVsk>@pPT6p<3!Uiwr;gF zLV*M8ET2MYd7-KGv7cO@9G@&Qd*>&k%v%S!I=v>!subxMHd8RR1GgB_Fe0IgRN342 zqWCF-4GO*NsY=DSqT!|(bmf!gVGhVJ-*+Bw(z4pLJivDZX@D6 zn3C?iK)Jy40$-!Eyr*h;dCL+zJeo6Bl%)bE6rhZq$ z{4Tvwsw1YDI5mT{bdCG!sE%8&Jt23(6!Y#?r$x6i`ivp2Q5Qn=Gev_socea{mPX!M zmVTN;73VGAXBpPgrY_6X#h$JdnsBToUV<;`#pw5J4sBRo@xg%`aEtiev4#A*q);O( zAL3p3fItJ5PR!r}kFjl5tu6=%FErRhv(UzGY0?0yl3B^uJZODyPG>AdrU zEuI+F6+EWm29Ub|#LomJ)d*2|baKb$FNi4(K+l zwua_$?fM8TokqLww-Z#|)1uJ3_=Xs*K53iA*^Bz21q*!uxiE5;2w9+L6!&ecM@(qz zUXx7wvAh6svZW%Zwt)+sRSg_gKs&T?AzJ*L5Lg{;WLm`pMQDCg$`O#7I(O~-ao>16 zj}%r9NcWEmES|A!H#GTNUt+is74zm#nG7oCb`%Y>`=o6lWF{AFp!OHcL zKq`F|#Uv7-rntt@X>sWp&*4n-^7yS=JlS1-blPILgVnA99=D?Y+&<$&Hwa9?WdjU+ zQ;YlTT)1+>E3Xs}`E>}E&jK=Eo;ER`L18FKXYh zDX66aW$q?tXIV+3D7Y%Ln88C2B=m$c```mwLXjKLS{yMwCSd8XoTf&3jAycR+SVjx zKHHwv2f;!z^g`M;SW&Msqymc*#$HnklG&v!vn2y}LNd8d)8#=N$Z(NeSHDQ182KC< zDzt3$M z!LD;=QI@ zeG$|q%M6%we6;h{wAvfRcDhJ$ujaf=QW67D&aM(nXJQYLQy+}IFk#Q9E=XT~4+Vw@ z5~`6DMaC!l8%-+1I-HDjOe41T`tJSla>=?5M1n@j6apbY>V!O^n#QTGO2=h(-5s6A zjL(hjJ1TOk{*_N6rZ&qdl`-~6Pw>!Sis8hNA*~18%&@`F3-=yB6$blAM_WLXeb%v}Z*#I5vu(v#_T*r=7)Bt?&!6z%Aesbw zPWQ!0`~13_qxq@)S|Bcy)~JS6d*(+`Gunjx;05DOC}Yh!MyjDpHN-Zwe&NFDy6G@c z9$;Gvg$ecu&65$oMFTU=eK zN9JAJf*1uU0^y@Xh|0`CuJ${n583Do(drt9=KpsOz&%A6N&p)x5BL8aU3cq@*IY=T zY&~Fp2XP1@tGZ#!+){Y-ZINO*6Ep@v+P(V!KJWi^;sS~0b3^CcX;8z*nSIUxV6gmm zJIgRW0O;NXL{u{0zEpnt+pO$#F&hC+u*}q9`>{&txGDyhoV?lP2V-s?}5}!Txa>!Iy+2UmhlN(_Fz?~(El^A_SNHreI8YR zsmaiCq_47U>*;^e%r;3cktYItj zi8B8$$;2S0S!(@ZM`+o9Z)L-OcQ+^iyt9rb ztURK?=tr9BH6f5lW{X^iRiMHFbjYh7{aI$GC42MS*#)qQhy}M&gBdzD!O{qpQs4<7MVB`i z6=`Wsosg0R=?ZSctUCNC;I|glRY4&BSA}G!lAAk9aU!=BVXPuAF&;O(v|K-93-_kL z;YHp-7amq+bl7IZA;_#2vE6>1nU?xU(YakCl*5}X!Ru_jv-mndw_A;E_Vb1T#1S5c zFkeeElRZ!W*^r(syonWjEzgbRnXZ|lkqG0mi|*fpy3)BpV~4YFLA3wt^2=putFnt+ zXT@Z)+E-YnU73F-x_v5Vi8&uNeO*Hrv_=NdiO^K^<0<-nKr9m)48C>k=7%Vm#+LgA zj$VcbTE(tYi+56UQ;97JQM3*#r5s|xfVQvRsUzGJCWM7 zBthMP!UYbmN%|Y)A>VZgv=s>330nQCCGa-4FeN8afV}wz1!CjK*blK9Uyt0`tFs(F zrjK0XUgun``W}g4e;ZngR&YMFo9-uv%>b$*mH*r_D3i)t1y*i+5Pqaf$MxxK+^ zAEeo6(~Sc1M2l${;Z$)SGN$O1GYC`O|77aI6527i3XykW^6au+GrF)O0NE#L>i!s*v2jsyA~SIa4~OeZF@nEN4?a02Gw@ec zCo;qeL99q@@B+nRtp&@X_~}J{3KTZ@vkAX=)T>3fkhXU#$?SyW`?z68asMr&Ddaq; za#4Tm^H|+|oG)%28o$T<>%E{w_mqXa_>KcpH^j<&Ob0X9xZW&fXOueG24s+Bzb>}d zUX`se;M_-Yc;)jNS>jNE7(6diH~QeAAjD@$P%K&t7qJb`aLL=m2An<|zwfAuxO7>3 z3alYr4eK`e70Wm%Xd@|XVi1*C`oy3fPXDGS2pht$;Dg5gc))|32!%FmYQ z$;w62kSr&Mmq3lv#xjd~27o7&#IwGW7S^b=FxDNu&I8IVlOdL(qS}DExuW}`)Tqdh z*NI4ZQ075|r(Ya;Wu3m`w_6SY&1ZWVgs!=uyFPZpCz30~)3h9$RPZ$5_Q{nWHL7_L zzF1sIbSySjcj;{PqGZ2jz;Edn_6-`LYRlw>w7*rApI8;w7&p`$4cG@Nb#~%gY4hi3 z$Nb(tS;Ep)Vk#!~6LA{?1AwGKZwmUA2+#xOd>H-k-&O*}t~p3cw4zgXiFgn!dKx8h z`8M%pIjnT7zO-rID*knDSYh1Wz0-*pMr>tz*`heZz!@fl)Q;GG&V^VdxrOfAfA%By zkM$=J2<02yq9Yd*0IGB{1aB|@=|1BSYS_Ceg!zkiel4L3)qnQDX`aPj>@@8SgD`o1 zZ4NyqsnqKtQ{!Ho0y$j|??Ghd^JjhUTqBdn8}lDsjQcR)3m3eZD%vyGS^2sIEXvJz zqg5k_WQ6mIADt_6c;N0SL$9e<{MaQKXv@sA$^1HU?%w3t0gA`N;@DnH5ND5#gLZ9Z zJ^IaYsf8pC1j1NV&R9{Yz4w?okQ)rt1rBmXcPIQiC})z2vww9bk{*zkyJEq^LP~F} zGKs!kVC&uRO$TX`;wZsapDT?}jj~#hLg1dc-lq>lG=&(L{_8MbczzW0xhlc8=>;2h z^dBDm9(9EQ(68r?42YkZ|LX}eX}}@?qbMLrTbT`EdC)-FfS_pzRAB6^5%Es2{{ikl zz_-RbA!MTbf5U?Us2qfV3Q)8?5JBjE(KR|>{QF(v>z@IooE^o#O;myjZZPu}~#rTh&Ck+0Q0ieO;;H!csOok^y z8rdJkw&jhoDkJ~keA{$$?`4lt?qk*0-@%}|&i)oHM{ELN_Ah0K7?b`7R!ci_DR{34kq)@jC&r-_lKp% zZwkI~%iyzfxAXr|_0GYa1>M$gY}>YziEZ1qZ709jwr677wr$&*XkvYN?(^NM_g0;K zs{iQf+Eu%IpMAR5T78FP0w|c^>3?j1mN&PN_>NEGGneUM% zhVug)`@UU%dg$VhE*mCGaqVe!p$rUn9EVjpN%UwrPM$-R?_s}M>kT3M6edQI-t9=} zjXYAfHbNBMiu+@NpBI^hd zLR6cm3A-OlV$d~@p&eS?jBn>`+$PI#jfHeB* zXmXDvD3nB7XX4`XNh?!iqjrz_#Tc3t+7Q!7FaaeJ$dd9~*Tw@eI2s;|2TK4|iy7D9 zqBd@qN(N#*)m&+9_M|tv`&`MisBxoVqXpB{nyBGWWDmIFe_i2m2l4MJOcd_wwXo6R zCad{ka^n@S(Xte#8QM-gqomga*+FGCgE&IGNj%0fg7vwxnWVAjmZFVUZ%L@kYO6=; zgn8MB%`kdlIpT#!&CjvV%=>dW(-OGNBt5Ff;a48&numO@_)ATAncHPrgmoBxZnB(_ z)NH00$l}bK_m&@GwER5X>bhGw{eaU1OXMPYX2=jaq#Fm2h`9pZrkK}kQ}WDI(a@~= z8QKcdb!kre501;nhC9(p+s5cHX{LLiPv}>~`*UJxp>LuxVw0VDJE!a#6Bi(DqKd1r z;Z#d?f>odmQ_g6UY~$Wj$O71~%mfbDll59NHp1w{d$Doe`{Yuh4Vo9BUuIFU)=Dn;j+UvEA&4Ua3 z#-iJ6vZcDnyDTZZSeRT6QzQ3$S$0J&filD8f`^h$*_|D5nW$fGyAU66g27?d^Lve( z!!qqK%rNzVdu;VGct(LL{rQQMi_+q+O?HfPG`Tvb!z(jpQ_mxpoBlP6D!cRVRP~jP z5iT~zv+Cez1EMxGUJ6WjRsw9XbYzA`p9ZXn)~$SxHjKXa#RKmwAdc8Z{EN?&`pPiH zN446^jWU10nq!w@Uh~ZuqpQ@Hz=d1_;{e;pz`A`0<-0pT-m>ePgm{OPB=*K8_pG?1c{61N zjVV>1Wxe=rxG>z~FKj%4XG8p=1R|0rjcD1wmVgPB4g70M>Lk-5#YuC&zzbDCfY&MHL2ZXu%AUepra?r~RM;r-V+ga|eBYe9vv zzXekAT7;-7YbC5JfuQ>bt{e{nuno><<}g?L4bGN8~9&^OwqDTrqy%2)sa@G%n;cIJ56;QUKsK zpA=n^TVK)Xwy}0=1h&IY5cZ1x>eJg{)FMy(1;1y-Yha4q+Fj8a5`WJLub~9~0D5AM zO*B|7;evfu>@&9ptb@-Y@T0?2iXbIF!9`K$;>2_cn`L<6nKQ#mB3@F;sbzTc+hw=; zw*{ZW(lgrHwakn=gS9%iwwLGvRTZF#?d}FY1gyVeiz0%cAk<*K28{Yg0q9KPZWoM8 zNzxYY6dCq}ZT}7@h88~YjwGqCFZTo(?~P1)Mrg}uC?!}+`vavq41~=U;kbslVOSKr z*>ZyQXl=PH*Z=#U(%CF!w_$Z=*GI--sM9myLU{SV{}v?wBf#+=-08OrG&l$=YkD9V zIBn~H1IPBCz)_~hJN}>#exeoyi&K!h@2ExYlbIL}R4(LS*2KH|S?L!%d1v6ar>iX{-(dtF{;eRmw-%Yeo^&c8P z7u@wT?FnR+q60LOE?olHnmw>bKgKT;9<`_sK%;L&(#TVHe|H!kP810uB4YE0{uRiJ zMf%k(VcI7qzu)NW?9=Pc@9!Q4gPzx6Z50#O$;bK^V`;d_aUGQj=k8C=;BMfxPyg4O zH$;H;==z2b3pM1w;WUOT!&V;c!#H0^i`L2U;voKec-tZLwngdT)R(hL_Cmi2>(0Qc z8r&KTU;Vq+l6QAYcZR+9m>c$nMxZ@L1~b<3rVOV$CoXc#*|S+=9CVj+ynI}u=tFyB-VbFEt{=k!F;)j8_;)gN zbFM5UKaN_51IYN3mD!-;YJ2q@Sx<#^in!0Vw-5<9^gOCbi5usK!=Z(&8Uw42sK2xiC#iZ}ot^8G=UCu>B{g9FvJ9Gta8?&k9 z0dYzOh*{4>a-BvQi?D^+y$cZOQBPq{enR*Q0S^rV%f=rvqsSm=;^vV;D1pEE!9X_8 zL_U;C(~z6_G-|iaU%n8R?7XX2pT4SL(@WK>!v9-iu2`{HyV>q6GIGiqu ztUksCmJo{=Vv?tDmn@7K7ng-`dG(E{uB5@^Jn|r=)5{rUM-spIG%ro5Di;sWS!~RL zmEpLq51u~9I;m#Es$!YYITC;(B0Z5doO)COYG2EiyzG?ZmgZ8^7f0nP@X91Ro9@%r zAPH)SGxAE6GWsU-3Xk%Ax_whzt&igR7@9pUhDYX|$+)}$vwX_vVldtP+>V5ae-;Fowd*Ft9?G8^V&0>ta855V9Dam|NPXI`&;H;hXdN8|fdN`c?Ne{oc{tt`UPPyG4 zR|xL<6a4{{=YS0p$=0ZkJ%ORmJq%W=(k+$bdp87c#-4060fJ}nl8>L|0~$*ZfCY0- zIPloov-8h4?!o=}>E;1Nz7|W;xEy@20h|F3S2078ljXFo>P7%)6Pw8)zzUp>qfaJJ zAno@)!0ffh6gr~5ShU+TmQ5-HiVP-E1FQph&#k2383ah!dh5P#sP=7n5Hmpa zwA?gW4H9V-Exg`UX{>hRKzyQ7eHuqStDpQmMQ|kTNq|*eoET z5-43{8XQAn5=V3@v^KO>^~pD0%mmfAA3*P=2*|KJ(X`>o&}p4wo!F#%xyr%=rT7iM z`{hO=v0~3ANO~NVwW@09>aFF*tgYUwQ0k>#TK&1$VPQ&Ivt#Nule?R4b}ci3xcH|E z(`R=erCfabx&FD*$j)F9!1oFMNY%ZSHVlQ9{%Zk+0+=}+I1CCGjFp{@J>6>og$58u z&6jY`HkfgQJ|;u-`pmV==Thq4quy{l1_CCMlUI>fp;B7mQ}O(l8x`JYOcjz^hM*xNA8I5C0$~mo9_30O5`OM56URt=5=n#z&NPC#gm{%{2n{TlcL;C4 z@E%E~3~PxPg(4lOmJA(~cji_Hb23a1KjM~T*n45|(K2n~f4 zvS&>*A5J?69Ge+w5I&d)c+VC{2OCu;6o(WpVEn474qOT4U;q>yG`loPhz-E@B~=13 znDXlj)J+xSt8tthAtIhBArOn2jEGDKCNjT47s+`vUl3f@R*PKlSd@J)&?QLiC@mHU zBpwR3rvi?yPdH!#0*)P`X5Lnm48N&=jYz2h8<&i<9j?r{&(IA?*c{6Wi8KmU73K}w zl(?9kD!65o3ogrXlrC6%0Sf?3Rfvb>sMa7P8C)R;p;`?z%cKeI^lu`wx4QE|XO@j}Se?5%2QC#rHguS(FZLLDD>Z_2sR{eQiQW-$|P&Q}HN}mvf zttp?z0sfT1aPaR$xX-`}7f3(VrX*mhVS4gqRh>&bqH^Pca?^#znzrDgTH3DB(q;^+bfCplR;EsR z1Xig9+~}$5DN@#Eog_LP3pQ(sPN#kSpg#}P*7PokXfaF9MysTSO{$p_i80A6rWILJ zwcg7bmMrTyDrulP7VG1fT{sP$M_80iLnncKU_gV;C?>|Dss#WA+NYcxYs-d~jaj+e z6>G~uvdLM$u#^@NTZMq+H>BO@MKRd|s@cL>X}0W}0_I~qi_vf_%dRY9mIiI3NiRV~ zyvejCZe4Z-9T2#Z$F!qntFIGj%p&wO?Ah-;Tv0cs6_8H9sM+4$P0BQ2-7p-JxTbHK zR;|9qGTpq!`vB0f<7tqMz8#tftnLv zzu?~-Q-@*4nu0MOHeGj5T{ATdDqL^Gj316czEEh6wQX&JL9JwJ_8g{xJFic?t{MN( zu8O&aB2~pwHYaW2o9|+_hN2#nR21p`INr8EbRfJA;sD4DML#2Y{N5K+w*5Cw>Cp># zzMaN=j-UPO|9x)ZVX*T(d$@h-kk{MwE!X?eVZi_WmaO2vPd7cg>j&YuB=K~Td(D_< zyLdpjwJfmqp+J+w`2D>3-f7V7_kQd7JRFYsbB5cg;qdMKd>QTm=m9*_^_joC{cZL5 zz598hbp_z)9WXK2`n()W|M9~A-)1i_FYm$mJ98Lp&iDRT_Nc{L^r&I3(82tUV)+QO z+2*6`Q1;=e^9xtfZ_?LrvHRAI?|t@{YI4jT$WPM0>Q3v`!Z_Dv*``&Vcl*t};;e+6 zNHNVNgta;Lb)4_t&}x;nf%~K70ndc`T@nihe1I-|(l3p?3ZT&)=0ch26mg!!d`2_)|v}qE()UYa$f~~rxXdqwNXx=KhN(L``M$s zZ)&B5xqgoRgumXlQu+Zm4x{%=q#xaXdtYl$clmdEao6i(yADzU50^FjxH~TA<8W~# z@BrRl(C+_A!3Hh}zYm82psXkUU*cgw7#F4Q6#stojkr@#zIt=kOD+D9_If34x?EWP zjwM?nSyxY%;8`NiPwZVBFi>8JTNQ}qHy>R>|LSdif7!0&s3q7Hct2YVci{gzxO?p! zon*T-*!_He;CR+Rg(>&Ce;}%~(P~3OumKR8JL|%thS(+6Fwv_dNsz-*2^2bNVb3JY zOREzdrp6E@r^YZoY3()3GCX-LVas>>{(C+A#04XK7Q84P<9_q5H)huY+#CP)rEfJ< zit6P6_6p?d=P9Fb^A0-l^jTOR{hYzTO8!wEl?lcSppDfBDp)!U&o1zSjIU&ZJOk|N zf4+V;E>yPpP3+Vz)N03p`W$eN$Qcr8uLpxn(#QiXEJ@h&R>p6cFFBs^@z3LMG->l` zC`f@-FH^Dwtz0zX2-!Sp#r|o2gW4-mRY(2nr>xSpR%#@V zM0|@(=5xf!MLp~284E!J!{4AvbPH&&hvw%fdA>ato-aI&_Ve`Xlz%DTvc5W2%g%nX z$a0T8pNo-I7o4Uc3iSCcGu*8}J{HHIkA$h$B<{9E+Pde5Q{~kZLpB;jPS%cxGd*5B zrzy`63{6J?iHkrrPaMbf(WP%Qr+9xy^l{XXj^yvW4qA0(Xc6)o-*&CkHveXGzHj<)*pPti@2)ilCBUIcKAy%`O6MnZaU08%Yop!lT`^gkKw?!VcvrJ~G zT9&mPnI&lI-XqC6^<(@r>gptpZ&MY2Ff3W3mSvnMFp_i(9^n4tDEX&ArghNIVIWwC81RH&t{MdU z`WfIuZrA%MLS_lr2aMab;Nc}|%NGoSpEP#eud7wwvv01SFO5gPgtwnt+bJw~!qMg3 z8U`+p1Vs*#;{N!34@ibN7-Egz!oyu9;{E-_OBuX69uPJ}o|^~<*zIIW+SkE^VAyT{ zY2adV67g+WdLkIy<3J8*V8&;l6$&^0??;Av*U>aOPCV5D=yV?JF+ zj=;V@i7tlvd4K?X@DN(DbGeq-!si?v@u>9HJSZkGpJF2?Lj6n%yp47<`hZ6V{me`1 z($L|2Cd5>b{aiCEBX*uK(dXa43P`+n4f^;;2k}%prU-97Cfoe41;^*XRfPg>m}#JH z`NYA2dq&Hk%r2O75ao}HNNW0;CJuHqS{Mud+1xC6w(To{={ zL;dc^&;pq#xJ8gKQe=v+WP~U^-RYqtaa`IZh=wAQo1RF9qLcgl zc>^o(MFU*ML*wq$CeJUQ;~fOLyaFW!;!L)Js^AlKaoI)rnms=rE1%J!v|LR1@+Fo&oogd# zm#|+*+b^2YwybxclQye57!A|BJiSi(7^u?nJpd3IH9=Gc-N;9{Gu~=wnK1^J{PiO1 zNlF-OgZjFjjV~vdK#Rf8tM<=9|M)w&S9C(!d@e?v6S&IRDfL1ss2H&F7>Pg!LF2c5Y%w5a)UehcxRNqzEXlmti z-vEwX)@RihklEb9{sy~6{n;-^zkH5R!&l=3Y2>bO1T%M2?I)@vc*|8uTBQyQtCZo3 z>(kY{Z~{BIP>v^MQs~y?h|qz=eQIAoj^Pde?F9~sQ^SCV7ueo0W$|$M)1?j!5?8_y z8i5^8l2aB`zQb=1v9eJ-C|<(pW+7m(5&)JC5xj4BcuUj&<0$l_Ia`XE%(4~j^{35y zo@M9XC*#lk_MZPNcCwDGeJHy5V+0AqNCr*3K=KYlBgKP#cNKFKD>)KY6h2rY=8mJE zF5~2g_Q{j@aeYZY%Q@Y(ZF3HffBa`TC+Tu>g2*_x4dcR= z;_6^)WbCk=wj}NBJCa6fllF5uP@cD;#wMiA6&AIYbxp1xSKkkwKL7b&`{Z1;utWn} z(7%4o%_fvrYf<1_bR+>kF742<@bWZs?nFv*_oq|=FmoL4Gg>oj!z8uV!8=tp8^;vu zR4yB_z@ExKwM@hGG*|}p6&zaz=bQ(1a@a-war^Tij{iHY6@xIy(qOqQG9wq+lBUHz zSZTyd9v%1LDhYew_P6G&b(vzX4NHZ4u+|+%Qjq)UQ2yGvVm!J|t5eeIawEK1OSRFQ z*60EF$}8r)8GqQwpr40kczP`R3OKNkOjYX|lUYuMd(^DuLdRNioGxeA;_WXg+^nzI zt^a_7_cG%!U`on1b6aH9qH9d0WX zR8#?Nd0okr&s~!aye&zy1(hM)j-q6{?O^W$A?D~f+)pX0*2?%xwDedG(AW}87b2lf@f>ULAkEep5k*}*@iFK4R zMO|=Rb(JsqW~}pTJcK=N(Xy5Dfgg-}DFk{tud5K{$?Awr+Mej#RW0_xKl=01!)pPa zeX*}PN`bCWp3nmH4)Q>(sBo>h>F7BoqHvoxYq|dpFP>WG^BF{x-m1^10TTH_GZ%iW z;%6Yl(3?)#7wgwY6UBEigU+Y8p3kfs2nC(aeHxepbf_jGY zSP_{j7!k3nY5Cy0Y^(I8gz$mOj>k4sTrV$?Ri>W^DSiT{cAX;egrx9+yF+PN+gw8@ zLK9lk^Kva|$GqjH&*;ba={+r)zHi%hPW;PCDSV#XK-2W~{W^I3bmsu<%&5kO0|$!8 zg=-^~zbevVE<2YkN6VkafS3KvV=?#3G9lTGWzf!@!PHxjcIDP{mD*G-X&k2OS6Li4 zX18-$NF6#k647lrDJAx)!K_yAXn-6kb(o(PfvQgBnO3DF^%Ra$jTlJSvnjUYFKB!QT2W z>-e3lsAuh3F2ZEVdp=$)ZkBZ}3aw7V-dm%nGa{{vCY++$f4; z`MmExUe*Hc=$==De)pBfd5-eQ?ct)5?Pe)D$evwZmWP3SF9Ib%3+a%IOfAuKSE)*{SYc!|;JeiQ+z^ZC4;>y!Vg4n|z~Hzdq@ ztu-cW#xI>fH;q%6Rdx9u4EWzRkkJmyv72C|SK(tu)``D_K!Pag&N2=0UL24xfU8*J zPi8^P>~`v3o$>c;b3KW2&9GI6Xi9n1%PNr3Cc*8 zDG`z|!s~|Yx?ytDO$M^&eS-uC#-hVTeJU3ivAdOSbr6S7>rFej6sIH z4~S{+n^awHEaQk%oJq%oabZKW3n=qkHthAG;R$}r*8K)F=BD_Rv!oScS(T#Ql0;dc zLUkTy65Iq7qdifC;1ZCucxwFRK~qZY{hhvVE8M@|ktY{%T~K-gfyz4j0K= zo?D317y%~wKBQYuj5xr`OuOBZNyMGbjE8Li+XG)3aTmu?hM`BJ3Bn1kcN5Jk32a3k zM*pl{}_$ zY<)6A2D4j`Uq}W3)G>H#ne02ObBgl=?c1R5he)jF1s1xoD!Ap_*80 z3r8oeSgpM?tC)wz5@yciB5r{VQ(>9klwl)?vjTYGK$VM{T*MF|vEA{W^f1oc*J zhJFMj!s4J95f!YM;wuxRA|i7J*Q6b2m5s9d1{GiMY^jq}u1y%1tsytscr zqf$8W~7M@^6pu^4dsA4K)|AQNLbD2lvtxMAie zDQA;-Qbk^tc6DeiR?Yo#hbOYFgc~e80ZHzM=8mA^l*cqkrhUX|GiZZtMtQ_q#;Md0 zwY0j|b28`v0|*&q(o%enyk{*HxJ!CmSl*F5|#fQ(!pF z*@lfz6eq;eUdu7HPN}gHLu7`b1g}%zC|Xp0C6$x{Ecc3YEBdAB%uI@$E=Gv9(*~TJ zxl>Jw?x^vtayF3XMwEF$k~AwM7#5WIXOhOE;0`RYvnBT02LVn_fs|_i|0*GdkyL=fA#f)j@i#NAfIDy6sg@IzUfb3npxT>7&d$ z4TnFb{{%m@hkf1d+2p7+6Edvaa{=9Kz%eSa^w)`O6G}1h&*^b`fDsc3E48BB@_&vTXmzq?#N0lLj|M!@F*hZwgR5 z-KmXoaCSEd8k;%0l&4n|G+@4y1v$)$%P4y40`k z4JRi093UQy=8DIfyI&RsiTkU!=fVRepnZM?gfZ{H^~ly+a&V?%^hTApJYSm-bMGRR zbX{%~=%cf&>X5y6B1YFtKmk(xAS(EtIjbMl=dRmxP4c3-bms!jva8Wo?HWx z6*ALbVulGIHELuhDHdVD#CSejVA{_gI_Q2wE%3VCo(|5mY)eL7JphW!oHL)P*~#m( zf+l)pOuxI6v9|m&dY}5mw_1d~^1^zUTO3NpRIYdiJ~Qf$J}B0^ugTmc(%0(tC&N0# zv3TSsYpLJ24ROz65nprNU9dKww^qX2MKW+hhP6(zg*Nfdo6Zr82E&InAY!TPda$5K z&NQ<1-JWxWH7ElsM*%umIdTp3&yDeV+tHKJ!12;!vvwU`y^oI3?0F(aRUDP^hw`2B zx(24+?c@URzAtu_ns~Xr#m*i*8jtV;2P%`R@M;{{9W&GIcm8-<@3*3g9g^9Y12yz@ zcF(GS?N48h2m_lx65VRk69EkO=>42o+FiCC1LKvg9AJ-KAAqfRz@-BQ6zk+evBs18 zH(4`~V(aF)M<9`wonA2AG1&c+(@o@DnV*-~-j!=^4+cbzY7~Q6hmAk8 z^}>W^pS9 zx-#*HZOA>R8fO`J1^bmRKp3E4T4d=&nq)p>6l48|=i(TeS75)oh5Y?{3aHAdqEj}v zcQVD8ZZ*@a?kiVEE0UH>5B@-~Sk<{l(7^2+(j!pA_b>dZ#ukPOehQiOpQAo-!HZdb z!Gk!&=Pw2H7cqgAGDQw_5>&N%asJIoa818c-osh(1t`r>I z{)KS3#b0?Q*sH5iz_`&I^y~r!EhYxBmi*ws!wsJ`iU`>?+Ri}Z7l8}jHTo1qiryt1 z;T|i7g8+_DACg2tASt0_v$P`uwZcOR>65vPNF4*fZ&*eI5?YERfVx~75S62>DvclhtnJ> z3-AIMx)!3FLQ)jwn+HwAA|&90U=;=+NppnJgIL8v<4~wOB8HOR_G_}^xSHB3zQ9=I z1gYmM9vW&qK_2=B_20QDQ|Ro}!HSMT+Nz8>bOl}fAw1kK1#=t$g$4hZ5aB?p6E%bk zlB59QtWSraN?PM1eaCV&B`G4qNNAqvV21`UWdBi2{u2pCn-#JMoz?=AMBxc)8(j;x zwL#4f+DkDV42p*jnw;McG5Yre5_ew`T3Jx>i)P?8|1|{4G3~V9o8i64%&cDs#j#V8 z7h(@hHAzzv^pkAz4#^YF2h@-vC-ja9D=W0g|K5opqb^UQP9zAuo0xwt*h4TK2{jL} zR|*aL9$-+1`jSE!a>p9Z>&uLFM!|)kjR8pkwR4A!;Il^34@OKphSJHBLjdU=;LbI~ zSrD-*EP2#?OKjfk_)jdv{i}H3InvqoK4U23mT-_9i@YCz;-hOUMuuRFB~DxIM6e=q zHqbThg2XepdqQRI=xK$%ChEuMlVhQo5st+L6rv8){pCDPJu9aVg?~>Yk~nNA&ROZ zKGPw!z12w|I|J*<%<%1+{Fo^sfUC?H4~zW8to7Q({SD`oms*wKg!ipXeS#O)H{^?^ zi8h_Jpk$`3HYF$<$vK5*dawJ!$l}yr#TgaBoHGQV{+>Mob%t3V%gk$Y0TDQ>i6Di5@OsWNAX2`nU#h_J-@>VFTy`MN)G*!|4SwKdIqU^UJp1ibBs1HNED z7u;T%U|9rL_^SR3#S@q1H&F-ErGprqq7TH$~y^``(x2WP`@_V|Am zcHl6L2i(LDSFs0>!(jP52_=SR3Luw9`#QxvBBhf%f9YB~^Yjr+?;)BCQn_2K-9cJh zXLuu+6x3p+6d^W0l` zu!3d=V@JIG()1~!l=%eaX<<=<{@s%9&6(HVzd;Ml{rQ32gnxL!IK$@yaaC!e{kS(U z5BDXQT$CpeEMNGP3p_?YisS>@MJRU$?|2(d0HJJM9G`om_h%lkwgVJxm(C5^2Z=tc zhmf%3*j`O3(2*Arc2Jh~s+6oN-h-p30QN*-#`87wy*XS)nIX?i^d9_;iW8N;CRkGp*4!zv z{BeR~b<16p1T#kdOpM=@ro=zw*niC^oK|JePVPR7HQLQ5p;em|76^se&CrHZoh71NHPA#x_54TKc33{={@Q zYt;lWf%P%uaOy(oKJl_>(hQK}jxz=nNS$WJ4fUA5`gRHTtfkfF|2-?EuZ2k`Q4Z7- zGNA(4lAL;HhnBx|-Odf2wj4aP6*MmZ!SknsjgNA95;%xxK9r>T)4XnV%#>VH=ubV3 z^S{6mU@F?8MpZWnU(3>!id;#@e-`WdluKLhO1&LiP&Du`h)ktf|$=bgY<}LUCaW3xy@@mWYx+ zq-3YW)=F5i31Oo6ARz$wrOEAb`L){hxE{SFac!LsR^4K*DU<{`%9xT!-SD) z4+F6c;RE9A)u0q0z~@_KGeZQ;E}h{XO8rc{x)z)H-EgezG}U0HE@dF~Z@uD`bJ=G( z$8VZsTHh^Gvk9wuZD2|Dk_K3~Qy>9=O4WV!klX_v>yE+71B=0!!j@-aD|MnY?Nd%bh!1Vrzv27(;KTvYT?olskH@c5!u^d99WXsUx$5EK3aux*3ie9I!oKx=lqIZcas^J zcbwm3Q?M(m@17mg7CI4Q6lobiX%cJ*Rfa4Wl4q`EEZqg(R{R)$}{BN z>1;1IRpYeNM4?-Sd;30Y74II^upRbodXBR6>!CHe6Xh?++7%E(S~|JxSt=9AJOSGb zeXN%cBb+~?m90t^k<1@Mdzn;w5ufER09mGu428$~T&2zgcwQGEUWI<1L`b88P2tQH zGM+5Ih0Iw6;jaaq%68ZYt?E-0jKn|3DOye$xBmM&9#66Gxp6r`b{3J!L@l)o7If%X z)uVrHKD`UWGj+BzHOX?3K1CCcPW8kJRsP;|kq_@S(iO&*71-Qk^kgCK4zDc6xO~U& zz#Ke%(cy1};uEugvh(#rNl)E`=?ukUeDmGIi|Stl|K^W#UF#V&W~BWp_xiPZs<7{E z5)DqiHk0J^<8-owju9dk2-SL~F4cHa|81TqBy2h?eI7_9?ewU>(PrnSQi_PSJBUBz zKxx6(n|4BSoW9yUl!O)hzJXw|sql{CXb&fA|IpF`2(3i{ZgU%7;(q^eiS>L^(1B=k#?$C^!0j*S}HnJ!$WkGHl?**?9bIHd}ed>{|jKGz3xq5AVWew?*}QUCe5; zw}YLNJ-3+(fZNNw{fm=buZhYbJuWFRsl&t3w!@4qDP%eUQtD0P+dP8o@Ci6kpP}GT77F>;L=AuB8Y(ll z*nml1f6b?N`b<3oX7C4!Hz1kqU%_c$`xA@|r z^@suKjRa9bKJ9%X3Eb-Dea_}A>px?x<9C7#G|URzTNTtq%Oy2Z|EENyXqG*zR@+OP z&UWGr*j|O%IF~fQM$M0k)EW@m=~TbUhlw(~UPsmoJ^Cx=Q&w;QGM%p3;<2edodBgK z*+xTFL%pGcJ0OFzoO~8b(UdNCrn=v(v4w(lfrM~vW8;#S=F;?hkk_}s|C^9_!_Tq7 zC40*kRqVn3Pc+rlc06UxB##H*7#GUD8&IzHpYyNeFHzS zUt%Ynj;I$we)UBYw7#BG*Izx*G=S=$gL1la;BN=)9EWp-`tKr@4c+?;4THKO_OwM4 zzuwosxg|}!mJMWBjTib+n^*gJ+s(!nfI|CZW3L9aoK8_KDc*U?UvKSQ8OpIlv6<5g zQy+fRb_x?w|Kv`QCwxcu$v4E3E|luxrjPJNHP*hDoAgrF>m=`w03Jv-Vd78AQ_@A2!L6|uIdsWNeCkiq^FS?KkE$rqKy*bv1*qiEyQ>E!R1qDt0aQ{h9T^9a{e9wu_64kddHw?ObnlW(t7&?(JaVZry3# zqyoUVnT&hda?eYsvbyvle_KhnzWq4RVCz!HocsuWeSLd+9+hD0F8gZq@)E#8t{=%m za&3c88&3szcmuM{eb(Jd^Xys)Oul}TpR&etJf(1a&hztJKd-ITU(Z~fcdsQk^dbzF zcCXo+Z%wjDQ_iVvbGYMFY{aQ~wCtoYDvY$4d$G*APY)utP+%6 z6cM6?+Dgmw=2%5ck+h^eN(P|N0+6hzN~2&|EZl@57*%>?(ef)>nkeUOK%}=-RQV zcIL^)`g-Zn;_hDmYQ3R1_E5m5{*$gK-7F_=PVraM6ZcAN?!}jFceQSwtnKu@Y2Ni_ z=`)S++TD`x5^5)8bZ3gRxh!#nATt$^l_Cg@AT?@xfpj7O1bIh|2%P0l67^VSQfzcG zj1#wowr&P1)T1oa!3>q7Q^|&;BJe>DT1ky?7wecRb0P#b(z7sfe1&uZISCStC@~e7 z*q?~V=J)2qc&&Y-N?`!z`2spk_lt z6=9BXyp>k~(p;wKy#5m+SZug5|EkDwVI>)4poH?3g@Ac!DJndHxTB0j-3Ir)3pJ{d zF!}ZBk3HAnanKFgLSF<`d*Ymn-BN~E_rYfyRoZ-{aZHVKqh8j~??hcEO7n$#8lxBk z=i|G^L=|EojN~=o2@eM>{xLt+dq>1z@^RkL(FMcldj751BfszCIznyYWT; zGe1Com`wknJAtxtvZS+spppU(>g<}=tCx15IH?yP5{Scn-w(WORmqi6KEv)Frf^3z z+_Vrs2R?qg+aj79mHMAmWsX+b!SiIf2zct&)i|Wbp0#$fCZATOo4@Vo!aV@|R2YYU z*Y;+=w(Dob_xSk(7J1Ecxrnc?*|90uYbFQn^rwmmw=XG1uY0e7rRvqws1L{~0luYijP9VUIg4Eyv|%mX@%!V9$(fLS9mu_;mZT%))IC zx+L!XOoT5xy5gIae?&+^ClM}+Vnu_gA+R+=g!&QF5rNf;-5&9{{c%0QceJ&CV@r=NB>`o)c&F8D=I0Dn-rkXaLuGD zj>96^GmiIbI*?S7Ap=N8-u zL{1`H|8EBPQGTKTuyFq01TbxV$b=U9><>fFlX5_)r3~7ium+D+lAB4PH?>fox}^C1 zhcmkCbB#M3Z6u-3Lhs!FSz0|KU2MHI84A#uzq2Wov(e-9#G)PI3mEK%18Ob~&(62=Y7J6--Z-y}>2Z97|LwDDCnii9 z13G0}Xx|r!RxSl29P?K6DLhaSv&}g-2q!xYYU*pQXAkyCci%bQFFF0!XP%NHg6JM5 zhvzE8NAyQ3T52w4*)=5|k|Q7O{Tuq8rU8fEp;n_RpIYtYygM`dN-6(eVP6>(N7S`R zaEHu52<{LZ26uOY1`F;a=-@uV-QC>-1a}A?+}$BCFz6sb6PEY=suhR^KHVfkPJpIDV(qn62OgY zVOR*UX)aY~Q1f2a4?a3K-Q6483}V0pfognMCUu{z`3R38-5(>`&VE(9)6Q3e3t^kW zp)?xdRJ0r#PGsIM(<8+qMnh~-#Z#&d%N0q$cS0ZQ8A)j=98~DgK_SS(LnG& zXduPS|9>%S3I z`0$*h>|!&OevJxbgN!bF=5>qpp5ZxYeOT7FmIl`xT)C+j^(j^1`*nE^-k-9LUi_8# zSXPx5S~!&9|NibA&EF>4VKwjg3(3eAn$f&|szy2aV5tMKDx5^r@x3|k9!yPnkJwK5N1 zx9qMjqQ*VPiokgtEwqIw3WxRP&8D%gUXz&a%>Tq>dd^<}W4b*$kQyQZ9=)Vqw_O`| zjH@XL8{&&|{k6^EA&n=jP*8qHC#u0d)t`laBP_6J7Sg9j?(CtgjxHKEoRk#E$9%3m z^))F^eM{+ui4mEZU7T|7W^7$2<@C(MVT{(6j3VU(_IAZM+O>7+Eu$G4nzk&$kyW<9 z$dCTr5~Fwyj3-Qz;CdZeGRLlQg;uYZXy6(;O67@2t=~pT&hV;osN~vPMQsA!Pam~_ zO^+r{x;Mcc;}TVO))t`Q_{`2?#nflfvr7692d4ljkCZgh=xK3pXfpE%WwoD!!GB*8 z9TA9=9)tmuM7ze0a=CL`XdS}>{kC(iCN=G(zN1D?`q9Mwz;t!Ahb&+$F2m^eNZkPZ+7|-r)a;mYS>HZ6ILK)a zT3n#Ic_xYQ4!edld5OxwTvl&bqgaPe=YK!HUyNqsbBC11dtVfM)vW2NAEIZvQH7I* z3A@wDAL@a>pBXOb-13TsHPC2}k?JRM*JQ}9Hkn6T{#AqG#u;V9T0gnAWvlGQ(QbG# zOwa%JrNW(@K_x+RC?sB!yp|;9!S^ggp|ZpeO9-r!Kzz+knu4rpmZ`iR;}|$lh^Kkc z+0C(nq7@|CYI>1MXC&Uh2#C@1$o${_A}RvT4>i3DN}!goLUa!co8gXQ$GC|hC0YRN z1W-4iU1BGSV&BqcEobGsQuN

!_8M70x7AjX31R=*;4(9be#`HUBL6KWNm+ zh9A+>qfmh#5cvetKjHvMAf+S@b3y3Us`yKe6D__iKJHSnNo(Q%p1!Ca4IpBgxLmX| zwtEuVD3+}y*7UfU9P%`DXs4DLK$hw2v3P4-M5d}*$k;L=tAxRgQFlYmAB3_Rrp3cu zA0O+t@2c+Zud=hAnrFu6xo(lGE95TWT=@HGjdYL=IT%R$juo36GOVNZ*_ZS-m~E@- zpA^USR(Y*LYn55FR!(;AvlzB6Od)o{z3A63Z)e>F0`8eKmlYaIsAP9kxO)aVK@&T9ub#yzj zd#T7>3LE|nmgud76^w;UV1xEIt9Kh&a;tF@Y}xW>f?p1dPAcKn6q5>*n@)tH@*YRw zg5!RW*6&?K{|y0I^w$tT!g~z?$ybCWK-lT*r5TCSP_eHjjrj3e9cVPt6i)7NbsCZt zl0or!VRKayjzZ%Hr}yr$$m8I$M4joN$*5Z@yj;Py8HhmT3oA>Kmya~2oZJs;GXusa5aUu^6 zIz}(Fn9=Us@|IzNIB#QZ7M(M=D`Anz=~>Bj{t=T_LD@FJ>YqT^D45=KjvQ_Nl^xHN zD=#7D5S)>Wdl70$VE9R>JG~cetRFE@E4pms0>@^$F zm}qcRkTuJ^t3bRxZ?+KmkAqT$u8Ky6O$2GlQ+=#Y3nb95@*;=xh({qnTD@WW(A zdsokK54d(XIAjD;nCj8_{ET!&lKUUxJ}})13-}>@I|9gp#3?A)+KLa%;YpXIL}5tx zmIboC;chLL1p=gBMIB!MhZxd#Ui}a``CD-!fw2sToPz0`Q$V5Cr8?jvd3r|#kUsr( z5-9X~(W|*0C*9Nuogp1<11N&{+S%&WB+?qV0d&GaBKh)CK zVd2&&7?1+{4fp>Zl4e1TQMV}!?1}Cr5|Kat#E!w(E z6+Bm4_uJd^w$5P#)RsyopEf_ym`Yp~%=&lCBJ^d*@qX5@@3M>e`pf&@t9rTAzQcZ3 zpHs1hXT=f2@gPo!I3|QOZ^^P9g2Ag9n2(C=y>Vz>96Lz_e=Xj!jelVUqj(C19)5!w z&iDcIu2H9vZ;l(OhT(M^v};9Ut-EpW;h%Pt%;67lgKDx7DKxr%(qq0oh18RHEY+km zq3giHq6a|*wUjG#Y3bp@)(|wd=<4r*d~GAh=p+3sF`?cPlm0NnYCFpd;iQ^f8*Jlc|ORi)^GW1?BgAC4tO&zNd&%^(+ zk|rGd7`!T81f1adv<}xpKH+bF=4+K&UXt60JFf`_Ga=4NCn965Acz78Y>GjfZzlNg(X0oUt z&#W=dOdxNhAmX7iV5!(_P-G)qUHxc7eQZQUtmodB=L|c$P*;52Ua*)=SM0!G7e;v% zuq#)`2YFG5Ob`S?tdc?)WblXfd?EtRP>7`vYuFw`#@YZ`8Jj8kK=&5?lQok!M ziL@^^E5>r_^G9l3xGr?%w;)0PdolvSTHA9u?oIUrWu`0Mwl0QAqy^;Ax7V^KWc=i4 z=#JN!yc42hImlhJWHbn-5y1u4oy5wQJ`$t>-)QqNxUl*hA{F-qen&NHaL*vs?s=aG zSi7p%2U?jyE*cdZKV%6A1!H6}UEtf`!!9ElMeG$qs-|Znonrii!y=hKg~-KSSPBHm z$eGTWJMI+3?O3#wCSM>HQU6{bkWP(4KnOt@vPpTs z6W+hGhTZ$0`-=&8wdonv(Q`>4oeU_~8T;JM*(DeU|DurjL)CzmC>n7pX^9sEK&D4m zB1rl0K|{T$Kt?h$2!KM5`Co&gdQt(Mq+(DKGChV8AcgJ&Q(Q%izg^LkCJBtyU1;C= zmyvTs+FaUPDkx1e?B}kkl#sI;LrrLrnZdt2AAtC(@Dgg_mFG5$9B<3Cr z;rxV(^b6-FiJIRVgfoqc3!8EYjm;n0M2*9F9^K`ee~tkgN;5}#Umn%F>mRDPBX*xG zl(W&RlzE7Q9!5>Ka0snlQChm}I05Vz0qB&Y-awEl%Ehin79^JweB19fBdU7;CFcVz zfoh7^cY5UQBw)wHhczOIb!x)4qJdn_upD!}uUm;3r)K1zzln~A@7W|FcpD$lTBR>} z#@=TNl}UzyU)5g|&SrL#*I*p6xLobWrUTTUMH86{ZB9U6zGgByji-PhCm`Ju5Qpbn z+=uEar^vOxaEF`Cq=|kctHh#8Q##3pI@bBbSWD0r(%pPt`0gkqE{t(*@$$v4iavxY(3jF-0wXPb z6>(CL)0JqHjrJg)OGt^;++m(y1@hpP`D7o%oI4PA%cNH@Wq75O%KamZLvD)S&dpnL zipL~4nu9*eF?g^jYq=b=>u?YYref!G#27f-;z(lg(lN;#%gY<<78A#bV9Se9^aST& z6Azb*C-HzuLMkOb1!s{?A<(C91V?-olF2%Hs!b2j)IWh}MR=M8+H3u;v5`D}H(d)D zH|H(t==RT5lBt0PKH=|sQ;&J#2 ze`;~9P!>Is}knMu$oP8zbdG-LR#X5+**UsmeQ`aAeMZ;+GeO%@tcF8s`6iW*x zIV%swaaD)ZjT}_tuFm5(v|@ZdG|}RVQ&|-NS*7t??f**go1CfW`Qig@DtF=r3n_JP z#x_t|+rqXKGW`#Z8yX3;WVZxp@RC6dEiDOGu>-6CkHiY4+K6JP1u(9W`Jy z*o1g;z{UuEcP`LWbe6uWMOrzHesbh5YVfs(t>>Ew^vP-mzJ5N;t_s-yJyuOo_hJ9% zRFrZnSV4<>c4oZ_RPT!+>|R~Px`Vl@>78r<%3A4;s%Gs{sZzBy6R0U0BTJfdivWkM zKITF3`>PxRzR2GRIQYkNw^6TfLVB?SSf^Hel)CYV%RhHR(^~AAJ`{^hUEu0W)=93Bc!kiF_i4S6`T^MjJ@<{QvTK?$- zQ0}Q>GKV97?*y~$vovvMyHcT)2`8%$Rx1_TjgDK-fA{4!>awKu!~wx3JJVGw&VPo$ zjIpcPPyAX$L=8kf|6OgMhlrY6DSY}yX~IUdTwU#}M#MPYI{A`k1ol z%r>1KeS6j9-QeeWYX!b-o)w4tO8Crd<^U4T*_lqTS%! zr|VYFb6mW6fFAcwv#W{w{cC(!7B&1`Q*cxFbZ0e)ApF^oZn;~O`kkjXLf`*GaUZ-!HK7mEZ=3h z(-cTr|4>P0n+Lg5aMTh(tK$W!26;x3%INbCg)VXbem#PGnLU>aL#3|KI$3hfR)W!}xnzWhMY&s9gIwPqKD0!)4I1#KtVh#YoE;tt?fa{-CeEC6YOxL6E zcI|V9{28@}AA?~{yP z(48+t?-NmS1V?YEUBFvXFL+Y}9*ZeOmz*HG|h?}2Yt5)Owg~Cty{9dhJc9a(T zWXXbtz&jz9u9HtwU8u|UE)Hs;nZf!-xPEk4u7eE3X|dvXmj&i4P8Lc^l%!gZ_y$wP zIStnd1n5n`YQv2r74%?GGp*$t4f9TA05SkFU}Y;@`EkZjo_NjN51DB55Bj(5+R#3Y zJwYxnT-NmtCo?k{EM&EM23X+94;nP)_@vMEG|i-T8t1OIyw8V!VuubD7}oQKF4voj z-vL=9pCZIaYs&vvJJ2GS+Rt#6V3=}+|3%;kZ@oJ9hf?9^L>H$Hc^Qs#U?Jfp*OjC} zdQHskpc#EBW==X{1C}4WgiXxRtlV^S#!sG?W)JGViroFm5C2^-&Bd^ihSws$u_ikF z0sZM=3USKcX7E=a^Q494@nPkLY()p(rRRsp-@}&B&y_6*1&-Gc+Eg<7-UrRw&<*-e%}YG?)tq|bas?Yu|P6&fCar410y%M zqFrFKw|b4wn^BMjiJ?NhW9WKCa+IyeDD=I9MgUPYm&s=YsbeSQE?5wypuh~B>sbMX zMMZ3m;2sU0b`pMVyQ`t^0bc?!TqHFGm^9g`T-p8DgEokNPf>Y>#n7Qj)(?H0OZvS^ zCsi+5l7idxr!?h6)(-$z{7s*j4w2CTP%DPeCC16H0_qh8Y2HR-Wk=I_G8|KnOUb_5 z>**vM2C~*!*Y$RFP6_VA-@Y7T@%V;>2%Cs{U)?;6w~GI(*P|5qoST0rAD4D=r)!h0 zC;y9|)4SBe@8Lp3*zY)6*{~6 z%J4qAzq;~!$Xr&${Bk~fL6w^Im!0yQU?O?3ZbW|iU3%kr{nn$cZp!NJqrc}LhvCWR z{hz}EzBrXzmryo^Xby-$p8sHLa8<@d+ByZx5{NWj%dF=f7(Z}VeU+YAiB?cSxQ?Gcw}m9ap^bSAuLM!x!OW{rOufirYUDEv>eX_!^lc!Y`-l#Z`TAZ=bE~z0I1a zW0zV@6>7qRDa6a1%Pw6cvR;*A$h!aT-@>6)5(S zS3hxpFE-Z(yA5uWf58uYWxbs(vd=w3`B|W+8zxE_`{R8_$$TZWR%c=-@v8mO^6+QI(>sqWvy34Av`K3`OLsYwkO#h+ZnUnn!L zF&IoJOt8ugxn!8TvERscrt<@CKWik3~=2xziVdCeLa)cs&N!Vonto{+EJY`TOx)g67%lb-+)?j0>BNhLOUm zM5wBs*^B)jo*j&)VrnRp+Wmh9JRIJ=sxL`o*;GeEQpk~p?;Zv~`zBDtvt*=!;)&g zl;8XM_CNm_NhV^PjuKI;d+C3#W!!lCuvZR83G^E$BJWrXKE4hO{%akiE9?iJASx-L zetC)KRq3GaKO&`3$>kv=KX)F+5paEN2PE*XhDl2`_Cm94oTDY|xp3tkZA+2Z>F#FW zR=AiA=!IZor$O@K~voK5?tQ|u^2eb-o07`mljkU7SkG=8<$kimh7|EcEXtKhF8g3 zx9`aQJuFmii^iV^Tx_jh@W$;61 zX^hbi7LS4R6(nDCj?-BGA9VuNu(k4&5yrX98A`Mr2^H%bItoVOkYF%6?vR-Pnf#6d zStyeL$;ghL$`Dw9f^kPCCnOn+sXFvUfPCH=b!t#wfD{_hD7GnfYzPqxRTjmA?jssT z9Jiqp?E?&>h%+L`odvCTF~Kcc&C8!h?PQ~q4F;05=}IegwTO0^z*nyt0IPIddt?u@ zNn}ZSV0J&pQ4)X=tsOX5fM3hrO|-Z}lP|*c#bBI2>7;6vZmOCh&50qN2s-|dW=~y7 zH>JC+-;gS!yTk_$fOJk>o&8=Me6Sd;@8CFQUT2yTSK88MZ?A0=0gFA`Kd@h|c`-DJ zhU)iZm&7UTbkqAPxDA~VMz%XWh+WCi9GK`OsU>~T>`tUdp^KFz5oxd#kF1@SURZ{s zj=o8hiNm4iSHxAip9}LieS2k~r{bd5uluR--#T6Bp0F-;6NK`SJ@3-5b0bbvNzE1R zuRRIaRQnupaUzyR#`uM)$7xI7C8#9z{vY5=WUc%rziQ+~ek+^!$J!^kw|RYydry_W zNpg$Ji|=VM*#y<*6rX2{+1e$N=>9c`>$xQU=7x{lwf+VuzAge@SdLmHIquZ~*93?1 zkM1^Zm*na=*C0mEzM$^sorHw9w91DM+H$@MGGhW9l#rq8Z45r2*x5V)JYU=R-~OM3 zL}BHrLgUT=KxSv(I2MStm)g-~RIp1?4FV3QGbqwk_iQ%@6P0`p$QIGx7-C^Z1;&ww`RGhXcJ7++J*HT;LE40qSiR*yQX8A}u7`r73Sg{1 zpRa-}Q`$n|X$m-s|yHI)!I#)XUG+RZUQ9}%w^k$h0p+iL~k2ZRr-g_z?K0( zxj_L@`%zCb%o4+0A7cUL=uP&ZiLu~su9#q%iJT?USVA`Hg3-j$P$Dvlxxiagt!Q&g z=)-I(i{X}UAwR_35!C@;P%xF~iPf=oYetrXOkf+0NURZ2D_b3|+7ikwD^wmxvsEfJ z=qMd@!G%0k@rXN5gJN|o+;Ru4OT8bgFSbDEa=N57Q%7VNzv4z?K-#Ow z8!Najz)aEB32*xP99b&t+@Rmn`;MCWX&1o<#<@n^Y0iHdC;Edi*S*r$%qgjPL7ofp zZaitZ7}p%-dq`?Mvrc)V7#~$-ATRuVOaIahPl;o&Lp^!R2<}Sal-xotCq}#l2)ED7 zjX^X1CxJ+0IUGB$xRHyGy8T=FCFns2_O$S^l5)?&FKQZ2-&52`d}~)58Z6b5*TFiR z$WxAMF31{B_=5(<>JZ4L$ZVmVgtGvNRTo!gft6K2Oya-BI{aYE&1xF>Lq}REY$|^$ zQ!7tjIMq6}LfBb6MgMpF6_vaxVILQy!S8VymzPNbX~6_#JGNxff6`GtUrUjkmL86RQji#g^hnkdttaUxXEp5*Y5Fl#qnPayUR1J=wp&4<}&P=r>THEW)hOw&|!9H6{bCpl2 z=bR^CBye@6l6Qm8l_i-~CF(>|a=mN{q!?K$f4C}yMf(-doxH4hs&QvaU z&@4&4w!F$LYgDN7WsakrW$DCe-N)Tfoc4klP7D}zbx_iBNkEZd>?=6FrW~iye~)t_ z9?x9!dXLQ!iaE1p6$OaP6Vm(V-UoLq-LRW8-!g2(7&x=kQH1Tf!R(ivT>r)`T`Ub( zY`Z;*m20W>k5<$bK^{~@ByC7wj?S3Tm#i?xMStyhpKtM(7-G_!NUgi93wHISce?>1 zgN$>zU-{f$r?OyYPTWE$-&9|_7m>TpiAc zypJ!+D@E}lncmT#kTtq^Xv3e^Fe$~4-&JJoA8A9s%`2fdhV)f;<)c>kUYNsV?1LtM zptKY*V%-`WEvKJ5`9Ql!8oAKY%~7rQK#{)78=d=qTY@)HVr9EI#s(ELqV}CdU|+K$ zu$ChC>_qof-RK;kiO581H=OZ$>!l}If*b-FBpM9xZ*S}>ED(SCN z^X+jWCyoq+|BeSB^`|DXO$0fMJJ1hSxDXP&axDgGO` zGiKQ?pW(M_E+Drv7wn=!zoNGR#?kb+UiR;6$D3A#jXUzL%^m3~C|TH@&RLvaoYLfg-Y@TKq~sp8xV7UbdZR|CLYsCw{k4Zp{CSe2qE2I>$AT| zuQ&aJF|MZYqat+Cm+qcs5;kA>Si0y-e?M-elaII9Tkn&P3~3#ODHlHC=|Gh)FLG;o tNUHGkjqtN{Eo#JA4vPN$7I;A`9W~BNkEbM{#Ny`V;>4t