diff --git a/ABI/.gitignore b/ABI/.gitignore new file mode 100644 index 000000000..e69de29bb diff --git a/ABI/efa.dump b/ABI/efa.dump new file mode 100644 index 000000000..54f6c2cfc --- /dev/null +++ b/ABI/efa.dump @@ -0,0 +1,3291 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'libefa.so.1.2.49.0', + 'LibraryVersion' => 'efa', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '50721' => { + 'Header' => undef, + 'Line' => '2370', + 'Param' => { + '0' => { + 'name' => 'ibvah', + 'type' => '10272' + }, + '1' => { + 'name' => 'attr', + 'type' => '51227' + }, + '2' => { + 'name' => 'inlen', + 'type' => '2222' + } + }, + 'Return' => '152', + 'ShortName' => 'efadv_query_ah' + }, + '65787' => { + 'Header' => undef, + 'Line' => '1520', + 'Param' => { + '0' => { + 'name' => 'ibvctx', + 'type' => '3883' + }, + '1' => { + 'name' => 'attr_ex', + 'type' => '16327' + }, + '2' => { + 'name' => 'efa_attr', + 'type' => '66368' + }, + '3' => { + 'name' => 'inlen', + 'type' => '2222' + } + }, + 'Return' => '6237', + 'ShortName' => 'efadv_create_qp_ex' + }, + '66394' => { + 'Header' => undef, + 'Line' => '1487', + 'Param' => { + '0' => { + 'name' => 'ibvpd', + 'type' => '7612' + }, + '1' => { + 'name' => 'attr', + 'type' => '19200' + }, + '2' => { + 'name' => 'driver_qp_type', + 'type' => '2222' + } + }, + 'Return' => '6237', + 'ShortName' => 'efadv_create_driver_qp' + }, + '73904' => { + 'Header' => undef, + 'Line' => '958', + 'Param' => { + '0' => { + 'name' => 'ibvcqx', + 'type' => '12598' + } + }, + 'Return' => '47577', + 'ShortName' => 'efadv_cq_from_ibv_cq_ex' + }, + '73972' => { + 'Header' => undef, + 'Line' => '924', + 'Param' => { + '0' => { + 'name' => 'ibvctx', + 'type' => '3883' + }, + '1' => { + 'name' => 'attr_ex', + 'type' => '16156' + }, + '2' => { + 'name' => 'efa_attr', + 'type' => '74732' + }, + '3' => { + 'name' => 'inlen', + 'type' => '2222' + } + }, + 'Return' => '12598', + 'ShortName' => 'efadv_create_cq' + }, + '83733' => { + 'Header' => undef, + 'Line' => '145', + 'Param' => { + '0' => { + 'name' => 'ibvctx', + 'type' => '3883' + }, + '1' => { + 'name' => 'attr', + 'type' => '84257' + }, + '2' => { + 'name' => 'inlen', + 'type' => '2222' + } + }, + 'Return' => '152', + 'ShortName' => 'efadv_query_device' + } + }, + 'SymbolVersion' => { + 'efadv_cq_from_ibv_cq_ex' => 'efadv_cq_from_ibv_cq_ex@@EFA_1.2', + 'efadv_create_cq' => 'efadv_create_cq@@EFA_1.2', + 'efadv_create_driver_qp' => 'efadv_create_driver_qp@@EFA_1.0', + 'efadv_create_qp_ex' => 'efadv_create_qp_ex@@EFA_1.1', + 'efadv_query_ah' => 'efadv_query_ah@@EFA_1.1', + 'efadv_query_device' => 'efadv_query_device@@EFA_1.1' + }, + 'Symbols' => { + 'libefa.so.1.2.49.0' => { + 'efadv_cq_from_ibv_cq_ex@@EFA_1.2' => 1, + 'efadv_create_cq@@EFA_1.2' => 1, + 'efadv_create_driver_qp@@EFA_1.0' => 1, + 'efadv_create_qp_ex@@EFA_1.1' => 1, + 'efadv_query_ah@@EFA_1.1' => 1, + 'efadv_query_device@@EFA_1.1' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '10031' => { + 'Header' => undef, + 'Line' => '1160', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '2378' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '2222' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '10064' => { + 'Header' => undef, + 'Line' => '1165', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '2222' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '10102' => { + 'Header' => undef, + 'Line' => '1169', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '2234' + }, + '2' => { + 'name' => 'swap', + 'offset' => '22', + 'type' => '2234' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '36', + 'type' => '2222' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '10168' => { + 'Header' => undef, + 'Line' => '1175', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '10272' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '2222' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '18', + 'type' => '2222' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '10218' => { + 'Header' => undef, + 'Line' => '1694', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '7612' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '10272' => { + 'BaseType' => '10218', + 'Name' => 'struct ibv_ah*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10277' => { + 'Header' => undef, + 'Line' => '1164', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '10064' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '10102' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '10168' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '10321' => { + 'Header' => undef, + 'Line' => '1182', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '2222' + } + }, + 'Size' => '4', + 'Type' => 'Struct' + }, + '10345' => { + 'Header' => undef, + 'Line' => '1181', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '10321' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '10366' => { + 'Header' => undef, + 'Line' => '1187', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '10416' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '2222' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '7244' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '10416' => { + 'BaseType' => '7646', + 'Name' => 'struct ibv_mw*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10421' => { + 'Header' => undef, + 'Line' => '1192', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '78' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '2210' + }, + '2' => { + 'name' => 'mss', + 'offset' => '16', + 'type' => '2210' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '10471' => { + 'Header' => undef, + 'Line' => '1186', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '10366' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '10421' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '10504' => { + 'Header' => undef, + 'Line' => '1150', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '10640' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '10645' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '152' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '40', + 'type' => '9822' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '50', + 'type' => '66' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '54', + 'type' => '10031' + }, + '7' => { + 'name' => 'wr', + 'offset' => '64', + 'type' => '10277' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '114', + 'type' => '10345' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '128', + 'type' => '10471' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '10640' => { + 'BaseType' => '10504', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10645' => { + 'BaseType' => '9970', + 'Name' => 'struct ibv_sge*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10650' => { + 'Header' => undef, + 'Line' => '1200', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '10720' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '10645' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '152' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '10720' => { + 'BaseType' => '10650', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10977' => { + 'Header' => undef, + 'Line' => '1236', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '66' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '7244' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '11058' => { + 'BaseType' => '10720', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '116' => { + 'BaseType' => '80', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '12012' => { + 'Header' => undef, + 'Line' => '1501', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '152' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '18', + 'type' => '152' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '12066' => { + 'BaseType' => '12012', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12071' => { + 'Header' => undef, + 'Line' => '1520', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_poll_cq_attr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '12099' => { + 'Header' => undef, + 'Line' => '1524', + 'Memb' => { + '0' => { + 'name' => 'tag', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'priv', + 'offset' => '8', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_wc_tm_info', + 'Size' => '16', + 'Type' => 'Struct' + }, + '12140' => { + 'Header' => undef, + 'Line' => '1529', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '12066' + }, + '10' => { + 'name' => 'status', + 'offset' => '306', + 'type' => '6596' + }, + '11' => { + 'name' => 'wr_id', + 'offset' => '310', + 'type' => '2234' + }, + '12' => { + 'name' => 'start_poll', + 'offset' => '324', + 'type' => '12608' + }, + '13' => { + 'name' => 'next_poll', + 'offset' => '338', + 'type' => '12628' + }, + '14' => { + 'name' => 'end_poll', + 'offset' => '352', + 'type' => '12644' + }, + '15' => { + 'name' => 'read_opcode', + 'offset' => '360', + 'type' => '12664' + }, + '16' => { + 'name' => 'read_vendor_err', + 'offset' => '374', + 'type' => '12684' + }, + '17' => { + 'name' => 'read_byte_len', + 'offset' => '388', + 'type' => '12684' + }, + '18' => { + 'name' => 'read_imm_data', + 'offset' => '402', + 'type' => '12704' + }, + '19' => { + 'name' => 'read_qp_num', + 'offset' => '512', + 'type' => '12684' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '78' + }, + '20' => { + 'name' => 'read_src_qp', + 'offset' => '520', + 'type' => '12684' + }, + '21' => { + 'name' => 'read_wc_flags', + 'offset' => '534', + 'type' => '12724' + }, + '22' => { + 'name' => 'read_slid', + 'offset' => '548', + 'type' => '12684' + }, + '23' => { + 'name' => 'read_sl', + 'offset' => '562', + 'type' => '12744' + }, + '24' => { + 'name' => 'read_dlid_path_bits', + 'offset' => '576', + 'type' => '12744' + }, + '25' => { + 'name' => 'read_completion_ts', + 'offset' => '584', + 'type' => '12764' + }, + '26' => { + 'name' => 'read_cvlan', + 'offset' => '598', + 'type' => '12784' + }, + '27' => { + 'name' => 'read_flow_tag', + 'offset' => '612', + 'type' => '12684' + }, + '28' => { + 'name' => 'read_tm_info', + 'offset' => '626', + 'type' => '12810' + }, + '29' => { + 'name' => 'read_completion_wallclock_ns', + 'offset' => '640', + 'type' => '12764' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '2222' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '152' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '774' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '848' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '2222' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '2222' + }, + '9' => { + 'name' => 'comp_mask', + 'offset' => '296', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_cq_ex', + 'Size' => '288', + 'Type' => 'Struct' + }, + '12598' => { + 'BaseType' => '12140', + 'Name' => 'struct ibv_cq_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12603' => { + 'BaseType' => '12071', + 'Name' => 'struct ibv_poll_cq_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12608' => { + 'Name' => 'int(*)(struct ibv_cq_ex*, struct ibv_poll_cq_attr*)', + 'Param' => { + '0' => { + 'type' => '12598' + }, + '1' => { + 'type' => '12603' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12628' => { + 'Name' => 'int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12644' => { + 'Name' => 'void(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12664' => { + 'Name' => 'enum ibv_wc_opcode(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '6757', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12684' => { + 'Name' => 'uint32_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '2222', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12704' => { + 'Name' => '__be32(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '2378', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12724' => { + 'Name' => 'unsigned int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '66', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12744' => { + 'Name' => 'uint8_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '2198', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12764' => { + 'Name' => 'uint64_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '2234', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12784' => { + 'Name' => 'uint16_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '12598' + } + }, + 'Return' => '2210', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12805' => { + 'BaseType' => '12099', + 'Name' => 'struct ibv_wc_tm_info*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12810' => { + 'Name' => 'void(*)(struct ibv_cq_ex*, struct ibv_wc_tm_info*)', + 'Param' => { + '0' => { + 'type' => '12598' + }, + '1' => { + 'type' => '12805' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13327' => { + 'Header' => undef, + 'Line' => '1967', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '13513' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '13529' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '13389' => { + 'BaseType' => '13394', + 'Name' => 'struct ibv_device*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13394' => { + 'Header' => undef, + 'Line' => '1977', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '13327' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '22', + 'type' => '3483' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '32', + 'type' => '3547' + }, + '3' => { + 'name' => 'name', + 'offset' => '36', + 'type' => '4497' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '136', + 'type' => '4497' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '338', + 'type' => '13560' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '1032', + 'type' => '13560' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '13513' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '13389' + }, + '1' => { + 'type' => '152' + } + }, + 'Return' => '3883', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13529' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '3883' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13560' => { + 'BaseType' => '241', + 'Name' => 'char[256]', + 'Size' => '256', + 'Type' => 'Array' + }, + '13576' => { + 'Header' => undef, + 'Line' => '1992', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '14064' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '14104' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '128', + 'type' => '14114' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '136', + 'type' => '14229' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '150', + 'type' => '14254' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '260', + 'type' => '14114' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '274', + 'type' => '14114' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '288', + 'type' => '14114' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '296', + 'type' => '14114' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '310', + 'type' => '14114' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '324', + 'type' => '14114' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '338', + 'type' => '14114' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '22', + 'type' => '14114' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '352', + 'type' => '14284' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '360', + 'type' => '14114' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '374', + 'type' => '14114' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '388', + 'type' => '14114' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '402', + 'type' => '14114' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '512', + 'type' => '14319' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '520', + 'type' => '14349' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '534', + 'type' => '14114' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '548', + 'type' => '14114' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '562', + 'type' => '14114' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '36', + 'type' => '14114' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '576', + 'type' => '14114' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '584', + 'type' => '14114' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '50', + 'type' => '14114' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '64', + 'type' => '14114' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '72', + 'type' => '14114' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '86', + 'type' => '14139' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '100', + 'type' => '14174' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '114', + 'type' => '14194' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '140' => { + 'BaseType' => '92', + 'Header' => undef, + 'Line' => '40', + 'Name' => '__uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '14059' => { + 'BaseType' => '3963', + 'Name' => 'struct ibv_device_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14064' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_device_attr*)', + 'Param' => { + '0' => { + 'type' => '3883' + }, + '1' => { + 'type' => '14059' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14094' => { + 'BaseType' => '14099', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14099' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '14104' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '3883' + }, + '1' => { + 'type' => '2198' + }, + '2' => { + 'type' => '14094' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14114' => { + 'Name' => 'void*(*)()', + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14139' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '7612' + }, + '1' => { + 'type' => '7617' + } + }, + 'Return' => '10416', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14169' => { + 'BaseType' => '10977', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14174' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '6237' + }, + '1' => { + 'type' => '10416' + }, + '2' => { + 'type' => '14169' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14194' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '10416' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14224' => { + 'BaseType' => '7058', + 'Name' => 'struct ibv_wc*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14229' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '6039' + }, + '1' => { + 'type' => '152' + }, + '2' => { + 'type' => '14224' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14254' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '6039' + }, + '1' => { + 'type' => '152' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14284' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '6352' + }, + '1' => { + 'type' => '10720' + }, + '2' => { + 'type' => '11058' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14314' => { + 'BaseType' => '10640', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14319' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '6237' + }, + '1' => { + 'type' => '10640' + }, + '2' => { + 'type' => '14314' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14349' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '6237' + }, + '1' => { + 'type' => '10720' + }, + '2' => { + 'type' => '11058' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14354' => { + 'Header' => undef, + 'Line' => '2055', + 'Memb' => { + '0' => { + 'name' => 'cqe', + 'offset' => '0', + 'type' => '2222' + }, + '1' => { + 'name' => 'cq_context', + 'offset' => '8', + 'type' => '78' + }, + '2' => { + 'name' => 'channel', + 'offset' => '22', + 'type' => '12066' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '36', + 'type' => '2222' + }, + '4' => { + 'name' => 'wc_flags', + 'offset' => '50', + 'type' => '2234' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '2222' + }, + '6' => { + 'name' => 'flags', + 'offset' => '68', + 'type' => '2222' + }, + '7' => { + 'name' => 'parent_domain', + 'offset' => '72', + 'type' => '7612' + } + }, + 'Name' => 'struct ibv_cq_init_attr_ex', + 'Size' => '56', + 'Type' => 'Struct' + }, + '152' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '16156' => { + 'BaseType' => '14354', + 'Name' => 'struct ibv_cq_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '16327' => { + 'BaseType' => '8952', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '169' => { + 'BaseType' => '66', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '19200' => { + 'BaseType' => '8767', + 'Name' => 'struct ibv_qp_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '193' => { + 'BaseType' => '54', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2198' => { + 'BaseType' => '116', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '2210' => { + 'BaseType' => '140', + 'Header' => undef, + 'Line' => '25', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '2222' => { + 'BaseType' => '169', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2234' => { + 'BaseType' => '193', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2354' => { + 'BaseType' => '66', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2366' => { + 'BaseType' => '392', + 'Header' => undef, + 'Line' => '31', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2378' => { + 'BaseType' => '2354', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2390' => { + 'BaseType' => '2366', + 'Header' => undef, + 'Line' => '29', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '241' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '3388' => { + 'Header' => undef, + 'Line' => '66', + 'Memb' => { + '0' => { + 'name' => 'subnet_prefix', + 'offset' => '0', + 'type' => '2390' + }, + '1' => { + 'name' => 'interface_id', + 'offset' => '8', + 'type' => '2390' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '3424' => { + 'Header' => undef, + 'Line' => '64', + 'Memb' => { + '0' => { + 'name' => 'raw', + 'offset' => '0', + 'type' => '3467' + }, + '1' => { + 'name' => 'global', + 'offset' => '0', + 'type' => '3388' + } + }, + 'Name' => 'union ibv_gid', + 'Size' => '16', + 'Type' => 'Union' + }, + '3467' => { + 'BaseType' => '2198', + 'Name' => 'uint8_t[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '3483' => { + 'Header' => undef, + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '3547' => { + 'Header' => undef, + 'Line' => '105', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '3599' => { + 'Header' => undef, + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => 'IBV_ATOMIC_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_ATOMIC_HCA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_ATOMIC_GLOB', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_atomic_cap', + 'Size' => '4', + 'Type' => 'Enum' + }, + '3766' => { + 'Header' => undef, + 'Line' => '2035', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '13389' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '13576' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '612', + 'type' => '152' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '616', + 'type' => '152' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '626', + 'type' => '152' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '640', + 'type' => '774' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '800', + 'type' => '78' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '38242' => { + 'BaseType' => '2198', + 'Name' => 'uint8_t[6]', + 'Size' => '6', + 'Type' => 'Array' + }, + '3883' => { + 'BaseType' => '3766', + 'Name' => 'struct ibv_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '392' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '3963' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '4497' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '100', + 'type' => '2390' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '278', + 'type' => '66' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '288', + 'type' => '152' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '292', + 'type' => '152' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '296', + 'type' => '152' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '306', + 'type' => '152' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '310', + 'type' => '152' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '320', + 'type' => '152' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '324', + 'type' => '152' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '328', + 'type' => '152' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '338', + 'type' => '152' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '114', + 'type' => '2390' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '342', + 'type' => '152' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '352', + 'type' => '152' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '356', + 'type' => '3599' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '360', + 'type' => '152' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '370', + 'type' => '152' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '374', + 'type' => '152' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '384', + 'type' => '152' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '388', + 'type' => '152' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '392', + 'type' => '152' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '402', + 'type' => '152' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '128', + 'type' => '2234' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '406', + 'type' => '152' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '512', + 'type' => '152' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '516', + 'type' => '152' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '520', + 'type' => '152' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '530', + 'type' => '152' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '534', + 'type' => '152' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '544', + 'type' => '152' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '548', + 'type' => '2210' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '550', + 'type' => '2198' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '551', + 'type' => '2198' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '136', + 'type' => '2234' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '150', + 'type' => '2222' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '256', + 'type' => '2222' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '260', + 'type' => '2222' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '264', + 'type' => '152' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '274', + 'type' => '152' + } + }, + 'Name' => 'struct ibv_device_attr', + 'Size' => '232', + 'Type' => 'Struct' + }, + '42' => { + 'BaseType' => '54', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '4497' => { + 'BaseType' => '241', + 'Name' => 'char[64]', + 'Size' => '64', + 'Type' => 'Array' + }, + '47211' => { + 'Header' => undef, + 'Line' => '27', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'driver_qp_type', + 'offset' => '8', + 'type' => '2222' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '18', + 'type' => '47264' + } + }, + 'Name' => 'struct efadv_qp_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '47264' => { + 'BaseType' => '2198', + 'Name' => 'uint8_t[4]', + 'Size' => '4', + 'Type' => 'Array' + }, + '47317' => { + 'Header' => undef, + 'Line' => '45', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'max_sq_wr', + 'offset' => '8', + 'type' => '2222' + }, + '2' => { + 'name' => 'max_rq_wr', + 'offset' => '18', + 'type' => '2222' + }, + '3' => { + 'name' => 'max_sq_sge', + 'offset' => '22', + 'type' => '2210' + }, + '4' => { + 'name' => 'max_rq_sge', + 'offset' => '24', + 'type' => '2210' + }, + '5' => { + 'name' => 'inline_buf_size', + 'offset' => '32', + 'type' => '2210' + }, + '6' => { + 'name' => 'reserved', + 'offset' => '34', + 'type' => '47448' + }, + '7' => { + 'name' => 'device_caps', + 'offset' => '36', + 'type' => '2222' + }, + '8' => { + 'name' => 'max_rdma_size', + 'offset' => '40', + 'type' => '2222' + } + }, + 'Name' => 'struct efadv_device_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '47448' => { + 'BaseType' => '2198', + 'Name' => 'uint8_t[2]', + 'Size' => '2', + 'Type' => 'Array' + }, + '47464' => { + 'Header' => undef, + 'Line' => '61', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'ahn', + 'offset' => '8', + 'type' => '2210' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '16', + 'type' => '38242' + } + }, + 'Name' => 'struct efadv_ah_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '47517' => { + 'Header' => undef, + 'Line' => '70', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'wc_read_sgid', + 'offset' => '8', + 'type' => '47587' + } + }, + 'Name' => 'struct efadv_cq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '47577' => { + 'BaseType' => '47517', + 'Name' => 'struct efadv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '47582' => { + 'BaseType' => '3424', + 'Name' => 'union ibv_gid*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '47587' => { + 'Name' => 'int(*)(struct efadv_cq*, union ibv_gid*)', + 'Param' => { + '0' => { + 'type' => '47577' + }, + '1' => { + 'type' => '47582' + } + }, + 'Return' => '152', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '47611' => { + 'Header' => undef, + 'Line' => '79', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'wc_flags', + 'offset' => '8', + 'type' => '2234' + } + }, + 'Name' => 'struct efadv_cq_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '51227' => { + 'BaseType' => '47464', + 'Name' => 'struct efadv_ah_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '54' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '5900' => { + 'Header' => undef, + 'Line' => '1507', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '12066' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '78' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '2222' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '152' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '774' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '848' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '2222' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '6039' => { + 'BaseType' => '5900', + 'Name' => 'struct ibv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6044' => { + 'Header' => undef, + 'Line' => '1282', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '78' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '100', + 'type' => '774' + }, + '11' => { + 'name' => 'cond', + 'offset' => '260', + 'type' => '848' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '338', + 'type' => '2222' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '7612' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '36', + 'type' => '6039' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '50', + 'type' => '6039' + }, + '5' => { + 'name' => 'srq', + 'offset' => '64', + 'type' => '6352' + }, + '6' => { + 'name' => 'handle', + 'offset' => '72', + 'type' => '2222' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '82', + 'type' => '2222' + }, + '8' => { + 'name' => 'state', + 'offset' => '86', + 'type' => '9275' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '96', + 'type' => '8624' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '6237' => { + 'BaseType' => '6044', + 'Name' => 'struct ibv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6242' => { + 'Header' => undef, + 'Line' => '1242', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '78' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '7612' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '2222' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '774' + }, + '5' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '848' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '288', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '6352' => { + 'BaseType' => '6242', + 'Name' => 'struct ibv_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6596' => { + 'Header' => undef, + 'Line' => '484', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '66' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '66368' => { + 'BaseType' => '47211', + 'Name' => 'struct efadv_qp_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6757' => { + 'Header' => undef, + 'Line' => '512', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '11' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + }, + '12' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '13' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '14' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '15' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '16' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '17' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '18' => { + 'name' => 'IBV_WC_DRIVER2', + 'value' => '136' + }, + '19' => { + 'name' => 'IBV_WC_DRIVER3', + 'value' => '137' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_FLUSH', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_ATOMIC_WRITE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7025' => { + 'Header' => undef, + 'Line' => '597', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '2378' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '2222' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '7058' => { + 'Header' => undef, + 'Line' => '588', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '6596' + }, + '10' => { + 'name' => 'slid', + 'offset' => '66', + 'type' => '2210' + }, + '11' => { + 'name' => 'sl', + 'offset' => '68', + 'type' => '2198' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '69', + 'type' => '2198' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '18', + 'type' => '6757' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '22', + 'type' => '2222' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '32', + 'type' => '2222' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '7025' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '40', + 'type' => '2222' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '50', + 'type' => '2222' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '54', + 'type' => '66' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '64', + 'type' => '2210' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '7244' => { + 'Header' => undef, + 'Line' => '624', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '7427' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '2234' + }, + '2' => { + 'name' => 'length', + 'offset' => '22', + 'type' => '2234' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '36', + 'type' => '66' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '7317' => { + 'Header' => undef, + 'Line' => '667', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '7612' + }, + '2' => { + 'name' => 'addr', + 'offset' => '22', + 'type' => '78' + }, + '3' => { + 'name' => 'length', + 'offset' => '36', + 'type' => '42' + }, + '4' => { + 'name' => 'handle', + 'offset' => '50', + 'type' => '2222' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '54', + 'type' => '2222' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '64', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '7427' => { + 'BaseType' => '7317', + 'Name' => 'struct ibv_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7432' => { + 'Header' => undef, + 'Line' => '631', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '74732' => { + 'BaseType' => '47611', + 'Name' => 'struct efadv_cq_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7584' => { + 'Header' => undef, + 'Line' => '656', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '7612' => { + 'BaseType' => '7432', + 'Name' => 'struct ibv_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7617' => { + 'Header' => undef, + 'Line' => '677', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7646' => { + 'Header' => undef, + 'Line' => '682', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '7612' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '22', + 'type' => '2222' + }, + '3' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '2222' + }, + '4' => { + 'name' => 'type', + 'offset' => '36', + 'type' => '7617' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '78' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '80' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '8218' => { + 'BaseType' => '7584', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '84257' => { + 'BaseType' => '47317', + 'Name' => 'struct efadv_device_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8493' => { + 'Header' => undef, + 'Line' => '879', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '3883' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '152' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '18', + 'type' => '152' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '8624' => { + 'Header' => undef, + 'Line' => '900', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8683' => { + 'Header' => undef, + 'Line' => '910', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '2222' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '2222' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '2222' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '18', + 'type' => '2222' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '22', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '8767' => { + 'Header' => undef, + 'Line' => '918', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '78' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '6039' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '6039' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '6352' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '8683' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '8624' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '152' + } + }, + 'Name' => 'struct ibv_qp_init_attr', + 'Size' => '64', + 'Type' => 'Struct' + }, + '8877' => { + 'Header' => undef, + 'Line' => '962', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '2198' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '2198' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '8947' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '22', + 'type' => '2234' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '8947' => { + 'BaseType' => '2198', + 'Name' => 'uint8_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8952' => { + 'Header' => undef, + 'Line' => '971', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '78' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '6039' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '128', + 'type' => '2222' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '132', + 'type' => '2210' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '136', + 'type' => '9186' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '150', + 'type' => '8877' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '288', + 'type' => '2222' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '296', + 'type' => '2234' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '6039' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '6352' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '8683' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '8624' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '152' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '96', + 'type' => '2222' + }, + '8' => { + 'name' => 'pd', + 'offset' => '100', + 'type' => '7612' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '114', + 'type' => '8218' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '9186' => { + 'BaseType' => '8493', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '92' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '9275' => { + 'Header' => undef, + 'Line' => '1049', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9822' => { + 'Header' => undef, + 'Line' => '1102', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WR_FLUSH', + 'value' => '14' + }, + '13' => { + 'name' => 'IBV_WR_ATOMIC_WRITE', + 'value' => '15' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9970' => { + 'Header' => undef, + 'Line' => '1144', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '2234' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '2222' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '18', + 'type' => '2222' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + } + }, + 'UndefinedSymbols' => { + 'libefa.so.1.2.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__snprintf_chk@GLIBC_2.3.4' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + '__verbs_log@IBVERBS_PRIVATE_34' => 0, + '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_34' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_ah@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_cq_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_ah@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_get_context@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_device_any@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_port@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_reg_dmabuf_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_34' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'mmap@GLIBC_2.2.5' => 0, + 'munmap@GLIBC_2.2.5' => 0, + 'pthread_spin_destroy@GLIBC_2.34' => 0, + 'pthread_spin_init@GLIBC_2.34' => 0, + 'pthread_spin_lock@GLIBC_2.34' => 0, + 'pthread_spin_unlock@GLIBC_2.34' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'verbs_register_driver_34@IBVERBS_PRIVATE_34' => 0, + 'verbs_set_ops@IBVERBS_PRIVATE_34' => 0, + 'verbs_uninit_context@IBVERBS_PRIVATE_34' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/ibmad.dump b/ABI/ibmad.dump new file mode 100644 index 000000000..d36160194 --- /dev/null +++ b/ABI/ibmad.dump @@ -0,0 +1,7414 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'libibmad.so.5.3.49.0', + 'LibraryVersion' => 'ibmad', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibumad.so.3' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '104013' => { + 'Header' => undef, + 'Line' => '112', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '1824' + }, + '1' => { + 'name' => 'class', + 'type' => '72' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_rpc_class_agent' + }, + '104120' => { + 'Header' => undef, + 'Line' => '102', + 'Return' => '72', + 'ShortName' => 'madrpc_portid' + }, + '104150' => { + 'Header' => undef, + 'Line' => '97', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '1824' + }, + '1' => { + 'name' => 'timeout', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_rpc_set_timeout' + }, + '104205' => { + 'Header' => undef, + 'Line' => '92', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '1824' + }, + '1' => { + 'name' => 'retries', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_rpc_set_retries' + }, + '104260' => { + 'Header' => undef, + 'Line' => '86', + 'Param' => { + '0' => { + 'name' => 'timeout', + 'type' => '72' + } + }, + 'Return' => '72', + 'ShortName' => 'madrpc_set_timeout' + }, + '104307' => { + 'Header' => undef, + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'retries', + 'type' => '72' + } + }, + 'Return' => '72', + 'ShortName' => 'madrpc_set_retries' + }, + '104354' => { + 'Header' => undef, + 'Line' => '73', + 'Param' => { + '0' => { + 'name' => 'madbuf', + 'type' => '220' + }, + '1' => { + 'name' => 'len', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'madrpc_save_mad' + }, + '104409' => { + 'Header' => undef, + 'Line' => '68', + 'Param' => { + '0' => { + 'name' => 'set', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'madrpc_show_errors' + }, + '111564' => { + 'Header' => undef, + 'Line' => '164', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '1881' + }, + '1' => { + 'name' => 'guid', + 'type' => '268' + }, + '2' => { + 'name' => 'sm_id', + 'type' => '1814' + }, + '3' => { + 'name' => 'buf', + 'type' => '220' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_node_query_via' + }, + '112092' => { + 'Header' => undef, + 'Line' => '139', + 'Param' => { + '0' => { + 'name' => 'srcgid', + 'type' => '2912' + }, + '1' => { + 'name' => 'destgid', + 'type' => '2912' + }, + '2' => { + 'name' => 'sm_id', + 'type' => '1814' + }, + '3' => { + 'name' => 'buf', + 'type' => '220' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_path_query' + }, + '112851' => { + 'Header' => undef, + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'sa', + 'type' => '113002' + }, + '3' => { + 'name' => 'timeout', + 'type' => '108' + } + }, + 'Return' => '2912', + 'ShortName' => 'sa_call' + }, + '113007' => { + 'Header' => undef, + 'Line' => '44', + 'Param' => { + '0' => { + 'name' => 'ibmad_port', + 'type' => '1881' + }, + '1' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '2' => { + 'name' => 'portid', + 'type' => '1814' + }, + '3' => { + 'name' => 'sa', + 'type' => '113002' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + } + }, + 'Return' => '2912', + 'ShortName' => 'sa_rpc_call' + }, + '11763' => { + 'Header' => undef, + 'Line' => '1266', + 'Param' => { + '0' => { + 'name' => 'field', + 'type' => '6858' + }, + '1' => { + 'name' => 'buf', + 'type' => '227' + }, + '2' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '3' => { + 'name' => 'val', + 'type' => '220' + } + }, + 'Return' => '227', + 'ShortName' => 'mad_dump_field' + }, + '11801' => { + 'Header' => undef, + 'Line' => '1467', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '2912' + }, + '1' => { + 'name' => 'field', + 'type' => '6858' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_decode_field' + }, + '11909' => { + 'Header' => undef, + 'Line' => '1723', + 'Param' => { + '0' => { + 'name' => 'file', + 'type' => '788' + }, + '1' => { + 'name' => 'msg', + 'type' => '79' + }, + '2' => { + 'name' => 'p', + 'type' => '220' + }, + '3' => { + 'name' => 'size', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'xdump' + }, + '120983' => { + 'Header' => undef, + 'Line' => '193', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '220' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_free' + }, + '121101' => { + 'Header' => undef, + 'Line' => '188', + 'Return' => '220', + 'ShortName' => 'mad_alloc' + }, + '121211' => { + 'Header' => undef, + 'Line' => '171', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '220' + }, + '1' => { + 'name' => 'timeout', + 'type' => '72' + }, + '2' => { + 'name' => 'srcport', + 'type' => '1824' + } + }, + 'Return' => '220', + 'ShortName' => 'mad_receive_via' + }, + '121815' => { + 'Header' => undef, + 'Line' => '166', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '220' + }, + '1' => { + 'name' => 'timeout', + 'type' => '72' + } + }, + 'Return' => '220', + 'ShortName' => 'mad_receive' + }, + '121915' => { + 'Header' => undef, + 'Line' => '87', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'rstatus', + 'type' => '256' + }, + '3' => { + 'name' => 'srcport', + 'type' => '1824' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_respond_via' + }, + '12260' => { + 'Header' => undef, + 'Line' => '1247', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portinfo_ext' + }, + '123253' => { + 'Header' => undef, + 'Line' => '82', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'rstatus', + 'type' => '256' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_respond' + }, + '123706' => { + 'Header' => undef, + 'Line' => '47', + 'Param' => { + '0' => { + 'name' => 'rpc', + 'type' => '120948' + }, + '1' => { + 'name' => 'dport', + 'type' => '1814' + }, + '2' => { + 'name' => 'rmpp', + 'type' => '120953' + }, + '3' => { + 'name' => 'data', + 'type' => '220' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_send' + }, + '12555' => { + 'Header' => undef, + 'Line' => '1241', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_classportinfo' + }, + '125839' => { + 'Header' => undef, + 'Line' => '141', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'attrid', + 'type' => '108' + }, + '3' => { + 'name' => 'mod', + 'type' => '108' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + } + }, + 'Return' => '2912', + 'ShortName' => 'smp_query' + }, + '126222' => { + 'Header' => undef, + 'Line' => '101', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'attrid', + 'type' => '108' + }, + '3' => { + 'name' => 'mod', + 'type' => '108' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + }, + '5' => { + 'name' => 'rstatus', + 'type' => '5588' + }, + '6' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '2912', + 'ShortName' => 'smp_query_status_via' + }, + '126654' => { + 'Header' => undef, + 'Line' => '95', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'attrid', + 'type' => '108' + }, + '3' => { + 'name' => 'mod', + 'type' => '108' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + } + }, + 'Return' => '2912', + 'ShortName' => 'smp_set' + }, + '126831' => { + 'Header' => undef, + 'Line' => '87', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'attrid', + 'type' => '108' + }, + '3' => { + 'name' => 'mod', + 'type' => '108' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + }, + '5' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '2912', + 'ShortName' => 'smp_set_via' + }, + '127032' => { + 'Header' => undef, + 'Line' => '55', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'attrid', + 'type' => '108' + }, + '3' => { + 'name' => 'mod', + 'type' => '108' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + }, + '5' => { + 'name' => 'rstatus', + 'type' => '5588' + }, + '6' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '2912', + 'ShortName' => 'smp_set_status_via' + }, + '12712' => { + 'Header' => undef, + 'Line' => '1235', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_timestamp' + }, + '127459' => { + 'Header' => undef, + 'Line' => '50', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '268', + 'ShortName' => 'smp_mkey_get' + }, + '127505' => { + 'Header' => undef, + 'Line' => '45', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '1824' + }, + '1' => { + 'name' => 'mkey', + 'type' => '268' + } + }, + 'Return' => '1', + 'ShortName' => 'smp_mkey_set' + }, + '12871' => { + 'Header' => undef, + 'Line' => '1229', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestioncontroltableentry' + }, + '129760' => { + 'Header' => undef, + 'Line' => '58', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'call', + 'type' => '130499' + }, + '3' => { + 'name' => 'srcport', + 'type' => '1824' + } + }, + 'Return' => '2912', + 'ShortName' => 'ib_vendor_call_via' + }, + '13030' => { + 'Header' => undef, + 'Line' => '1223', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestioncontroltable' + }, + '130525' => { + 'Header' => undef, + 'Line' => '52', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'call', + 'type' => '130499' + } + }, + 'Return' => '2912', + 'ShortName' => 'ib_vendor_call' + }, + '13189' => { + 'Header' => undef, + 'Line' => '1217', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_cacongestionentry' + }, + '13348' => { + 'Header' => undef, + 'Line' => '1211', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_cacongestionsetting' + }, + '13507' => { + 'Header' => undef, + 'Line' => '1205', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_switchportcongestionsettingelement' + }, + '13666' => { + 'Header' => undef, + 'Line' => '1199', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_switchcongestionsetting' + }, + '13825' => { + 'Header' => undef, + 'Line' => '1193', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlogentryca' + }, + '13984' => { + 'Header' => undef, + 'Line' => '1187', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlogca' + }, + '14143' => { + 'Header' => undef, + 'Line' => '1181', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlogentryswitch' + }, + '14302' => { + 'Header' => undef, + 'Line' => '1175', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlogswitch' + }, + '14461' => { + 'Header' => undef, + 'Line' => '1169', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionlog' + }, + '14620' => { + 'Header' => undef, + 'Line' => '1163', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestionkeyinfo' + }, + '14779' => { + 'Header' => undef, + 'Line' => '1157', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_cc_congestioninfo' + }, + '14938' => { + 'Header' => undef, + 'Line' => '1151', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_mlnx_ext_port_info' + }, + '15097' => { + 'Header' => undef, + 'Line' => '1138', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_vl_xmit_time_cong' + }, + '15310' => { + 'Header' => undef, + 'Line' => '1125', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_xmit_con_ctrl' + }, + '15523' => { + 'Header' => undef, + 'Line' => '1112', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_sl_rcv_becn' + }, + '15736' => { + 'Header' => undef, + 'Line' => '1099', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_sl_rcv_fecn' + }, + '15949' => { + 'Header' => undef, + 'Line' => '1085', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_rcv_con_ctrl' + }, + '16162' => { + 'Header' => undef, + 'Line' => '1072', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_sw_port_vl_congestion' + }, + '16375' => { + 'Header' => undef, + 'Line' => '1059', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_vl_xmit_wait_counters' + }, + '16588' => { + 'Header' => undef, + 'Line' => '1046', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors' + }, + '16801' => { + 'Header' => undef, + 'Line' => '1033', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_vl_op_data' + }, + '17014' => { + 'Header' => undef, + 'Line' => '1020', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_vl_op_packet' + }, + '1721' => { + 'Data' => 1, + 'Header' => undef, + 'Line' => '1685', + 'Return' => '72', + 'ShortName' => 'ibdebug' + }, + '17227' => { + 'Header' => undef, + 'Line' => '1007', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_flow_ctl_counters' + }, + '17440' => { + 'Header' => undef, + 'Line' => '994', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_port_op_rcv_counters' + }, + '17653' => { + 'Header' => undef, + 'Line' => '989', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_port_ext_speeds_counters' + }, + '1767' => { + 'Header' => undef, + 'Line' => '1529', + 'Param' => { + '0' => { + 'name' => 'rpc', + 'type' => '120948' + }, + '1' => { + 'name' => 'dport', + 'type' => '1814' + }, + '2' => { + 'name' => 'rmpp', + 'type' => '120953' + }, + '3' => { + 'name' => 'data', + 'type' => '220' + }, + '4' => { + 'name' => 'srcport', + 'type' => '1824' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_send_via' + }, + '17812' => { + 'Header' => undef, + 'Line' => '982', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_port_ext_speeds_counters_rsfec_active' + }, + '17971' => { + 'Header' => undef, + 'Line' => '977', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portsamples_result' + }, + '18130' => { + 'Header' => undef, + 'Line' => '972', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portsamples_control' + }, + '18289' => { + 'Header' => undef, + 'Line' => '959', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_rcv_err' + }, + '1839' => { + 'Header' => undef, + 'Line' => '1493', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '1881' + }, + '1' => { + 'name' => 'rpc', + 'type' => '96752' + }, + '2' => { + 'name' => 'dport', + 'type' => '1814' + }, + '3' => { + 'name' => 'payload', + 'type' => '220' + }, + '4' => { + 'name' => 'rcvdata', + 'type' => '220' + } + }, + 'Return' => '220', + 'ShortName' => 'mad_rpc' + }, + '18502' => { + 'Header' => undef, + 'Line' => '946', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_xmt_disc' + }, + '18715' => { + 'Header' => undef, + 'Line' => '933', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_rcv_sl' + }, + '18927' => { + 'Header' => undef, + 'Line' => '920', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_xmt_sl' + }, + '1899' => { + 'Header' => undef, + 'Line' => '1442', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '1814' + } + }, + 'Return' => '227', + 'ShortName' => 'portid2str' + }, + '19138' => { + 'Header' => undef, + 'Line' => '908', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters_ext' + }, + '1921' => { + 'Header' => undef, + 'Line' => '47', + 'Param' => { + '0' => { + 'name' => 'data', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'call', + 'type' => '2917' + }, + '3' => { + 'name' => 'srcport', + 'type' => '1824' + } + }, + 'Return' => '2912', + 'ShortName' => 'bm_call_via' + }, + '19351' => { + 'Header' => undef, + 'Line' => '890', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_perfcounters' + }, + '19635' => { + 'Header' => undef, + 'Line' => '885', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_switchinfo' + }, + '19792' => { + 'Header' => undef, + 'Line' => '880', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portstates' + }, + '19949' => { + 'Header' => undef, + 'Line' => '868', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portinfo' + }, + '20161' => { + 'Header' => undef, + 'Line' => '863', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_nodeinfo' + }, + '20318' => { + 'Header' => undef, + 'Line' => '855', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_nodedesc' + }, + '20530' => { + 'Header' => undef, + 'Line' => '849', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + }, + '4' => { + 'name' => 'start', + 'type' => '72' + }, + '5' => { + 'name' => 'end', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_fields' + }, + '21071' => { + 'Header' => undef, + 'Line' => '797', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'num', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_vlarbitration' + }, + '21949' => { + 'Header' => undef, + 'Line' => '782', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_sltovl' + }, + '22613' => { + 'Header' => undef, + 'Line' => '1653', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_node_type' + }, + '23210' => { + 'Header' => undef, + 'Line' => '1647', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_string' + }, + '23471' => { + 'Header' => undef, + 'Line' => '1647', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_array' + }, + '23721' => { + 'Header' => undef, + 'Line' => '711', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_bitfield' + }, + '23954' => { + 'Header' => undef, + 'Line' => '1652', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portcapmask2' + }, + '24784' => { + 'Header' => undef, + 'Line' => '1652', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portcapmask' + }, + '26621' => { + 'Header' => undef, + 'Line' => '1653', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_opervls' + }, + '27538' => { + 'Header' => undef, + 'Line' => '1653', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_vlcap' + }, + '28344' => { + 'Header' => undef, + 'Line' => '1653', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_mtu' + }, + '29150' => { + 'Header' => undef, + 'Line' => '1652', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_physportstate' + }, + '30289' => { + 'Header' => undef, + 'Line' => '1648', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkdowndefstate' + }, + '30886' => { + 'Header' => undef, + 'Line' => '1651', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_portstate' + }, + '32381' => { + 'Header' => undef, + 'Line' => '1651', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeedexten' + }, + '32603' => { + 'Header' => undef, + 'Line' => '1650', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeedextsup' + }, + '33542' => { + 'Header' => undef, + 'Line' => '1650', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeedext' + }, + '34348' => { + 'Header' => undef, + 'Line' => '1649', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeeden' + }, + '34419' => { + 'Header' => undef, + 'Line' => '1649', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeedsup' + }, + '35232' => { + 'Header' => undef, + 'Line' => '1649', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkspeed' + }, + '35934' => { + 'Header' => undef, + 'Line' => '1648', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkwidthen' + }, + '36085' => { + 'Header' => undef, + 'Line' => '1648', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkwidthsup' + }, + '37169' => { + 'Header' => undef, + 'Line' => '1647', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_linkwidth' + }, + '37977' => { + 'Header' => undef, + 'Line' => '1646', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_rhex' + }, + '38822' => { + 'Header' => undef, + 'Line' => '1646', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_hex' + }, + '39667' => { + 'Header' => undef, + 'Line' => '1646', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_uint' + }, + '40280' => { + 'Header' => undef, + 'Line' => '43', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '227' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + }, + '3' => { + 'name' => 'valsz', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_dump_int' + }, + '49122' => { + 'Header' => undef, + 'Line' => '1280', + 'Param' => { + '0' => { + 'name' => 'field', + 'type' => '6858' + } + }, + 'Return' => '79', + 'ShortName' => 'mad_field_name' + }, + '49171' => { + 'Header' => undef, + 'Line' => '1273', + 'Param' => { + '0' => { + 'name' => 'field', + 'type' => '6858' + }, + '1' => { + 'name' => 'buf', + 'type' => '227' + }, + '2' => { + 'name' => 'bufsz', + 'type' => '72' + }, + '3' => { + 'name' => 'val', + 'type' => '220' + } + }, + 'Return' => '227', + 'ShortName' => 'mad_dump_val' + }, + '49571' => { + 'Header' => undef, + 'Line' => '1259', + 'Param' => { + '0' => { + 'name' => 'field', + 'type' => '6858' + }, + '1' => { + 'name' => 'name', + 'type' => '79' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_print_field' + }, + '50805' => { + 'Header' => undef, + 'Line' => '1468', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '2912' + }, + '1' => { + 'name' => 'field', + 'type' => '6858' + }, + '2' => { + 'name' => 'val', + 'type' => '220' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_encode_field' + }, + '5154' => { + 'Header' => undef, + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'payload', + 'type' => '220' + }, + '1' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '2' => { + 'name' => 'portid', + 'type' => '1814' + }, + '3' => { + 'name' => 'attrid', + 'type' => '108' + }, + '4' => { + 'name' => 'mod', + 'type' => '108' + }, + '5' => { + 'name' => 'timeout', + 'type' => '108' + }, + '6' => { + 'name' => 'rstatus', + 'type' => '5588' + }, + '7' => { + 'name' => 'srcport', + 'type' => '1881' + }, + '8' => { + 'name' => 'cckey', + 'type' => '268' + } + }, + 'Return' => '220', + 'ShortName' => 'cc_config_status_via' + }, + '51869' => { + 'Header' => undef, + 'Line' => '1161', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '220' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '72' + }, + '2' => { + 'name' => 'field', + 'type' => '6858' + }, + '3' => { + 'name' => 'val', + 'type' => '220' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_get_array' + }, + '52160' => { + 'Header' => undef, + 'Line' => '1465', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '220' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '72' + }, + '2' => { + 'name' => 'field', + 'type' => '6858' + }, + '3' => { + 'name' => 'val', + 'type' => '220' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_set_array' + }, + '52451' => { + 'Header' => undef, + 'Line' => '1463', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '220' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '72' + }, + '2' => { + 'name' => 'field', + 'type' => '6858' + }, + '3' => { + 'name' => 'val', + 'type' => '268' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_set_field64' + }, + '52732' => { + 'Header' => undef, + 'Line' => '1462', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '220' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '72' + }, + '2' => { + 'name' => 'field', + 'type' => '6858' + } + }, + 'Return' => '268', + 'ShortName' => 'mad_get_field64' + }, + '52993' => { + 'Header' => undef, + 'Line' => '1459', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '220' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '72' + }, + '2' => { + 'name' => 'field', + 'type' => '6858' + }, + '3' => { + 'name' => 'val', + 'type' => '256' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_set_field' + }, + '53165' => { + 'Header' => undef, + 'Line' => '1458', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '220' + }, + '1' => { + 'name' => 'base_offs', + 'type' => '72' + }, + '2' => { + 'name' => 'field', + 'type' => '6858' + } + }, + 'Return' => '256', + 'ShortName' => 'mad_get_field' + }, + '5614' => { + 'Header' => undef, + 'Line' => '44', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'attrid', + 'type' => '108' + }, + '3' => { + 'name' => 'mod', + 'type' => '108' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + }, + '5' => { + 'name' => 'rstatus', + 'type' => '5588' + }, + '6' => { + 'name' => 'srcport', + 'type' => '1881' + }, + '7' => { + 'name' => 'cckey', + 'type' => '268' + } + }, + 'Return' => '220', + 'ShortName' => 'cc_query_status_via' + }, + '61028' => { + 'Header' => undef, + 'Line' => '83', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '1' => { + 'name' => 'dest', + 'type' => '1814' + }, + '2' => { + 'name' => 'port', + 'type' => '72' + }, + '3' => { + 'name' => 'mask', + 'type' => '108' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + }, + '5' => { + 'name' => 'id', + 'type' => '108' + }, + '6' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '2912', + 'ShortName' => 'performance_reset_via' + }, + '61826' => { + 'Header' => undef, + 'Line' => '46', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '1' => { + 'name' => 'dest', + 'type' => '1814' + }, + '2' => { + 'name' => 'port', + 'type' => '72' + }, + '3' => { + 'name' => 'timeout', + 'type' => '108' + }, + '4' => { + 'name' => 'id', + 'type' => '108' + }, + '5' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '2912', + 'ShortName' => 'pma_query_via' + }, + '69963' => { + 'Header' => undef, + 'Line' => '1477', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '220' + }, + '1' => { + 'name' => 'rpc', + 'type' => '70928' + }, + '2' => { + 'name' => 'dport', + 'type' => '1814' + }, + '3' => { + 'name' => 'rmpp', + 'type' => '70938' + }, + '4' => { + 'name' => 'data', + 'type' => '220' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_build_pkt' + }, + '70948' => { + 'Header' => undef, + 'Line' => '82', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '220' + }, + '1' => { + 'name' => 'rpc', + 'type' => '70928' + }, + '2' => { + 'name' => 'drpath', + 'type' => '72333' + }, + '3' => { + 'name' => 'data', + 'type' => '220' + } + }, + 'Return' => '220', + 'ShortName' => 'mad_encode' + }, + '72364' => { + 'Header' => undef, + 'Line' => '1504', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_get_retries' + }, + '72416' => { + 'Header' => undef, + 'Line' => '1503', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '1881' + }, + '1' => { + 'name' => 'override_ms', + 'type' => '72' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_get_timeout' + }, + '72476' => { + 'Header' => undef, + 'Line' => '1476', + 'Return' => '268', + 'ShortName' => 'mad_trid' + }, + '74034' => { + 'Header' => undef, + 'Line' => '110', + 'Param' => { + '0' => { + 'name' => 'path', + 'type' => '74403' + }, + '1' => { + 'name' => 'dstr', + 'type' => '227' + }, + '2' => { + 'name' => 'dstr_size', + 'type' => '46' + } + }, + 'Return' => '227', + 'ShortName' => 'drpath2str' + }, + '74408' => { + 'Header' => undef, + 'Line' => '1444', + 'Param' => { + '0' => { + 'name' => 'path', + 'type' => '74403' + }, + '1' => { + 'name' => 'routepath', + 'type' => '227' + }, + '2' => { + 'name' => 'drslid', + 'type' => '72' + }, + '3' => { + 'name' => 'drdlid', + 'type' => '72' + } + }, + 'Return' => '72', + 'ShortName' => 'str2drpath' + }, + '75439' => { + 'Header' => undef, + 'Line' => '44', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '1814' + } + }, + 'Return' => '72', + 'ShortName' => 'portid2portnum' + }, + '76749' => { + 'Header' => undef, + 'Line' => '107', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '1824' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_rpc_portid' + }, + '76860' => { + 'Header' => undef, + 'Line' => '126', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '72' + }, + '1' => { + 'name' => 'rmpp_version', + 'type' => '232' + }, + '2' => { + 'name' => 'method_mask', + 'type' => '76744' + }, + '3' => { + 'name' => 'class_oui', + 'type' => '256' + }, + '4' => { + 'name' => 'srcport', + 'type' => '1824' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_register_server_via' + }, + '77746' => { + 'Header' => undef, + 'Line' => '119', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '72' + }, + '1' => { + 'name' => 'rmpp_version', + 'type' => '232' + }, + '2' => { + 'name' => 'method_mask', + 'type' => '76744' + }, + '3' => { + 'name' => 'class_oui', + 'type' => '256' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_register_server' + }, + '77900' => { + 'Header' => undef, + 'Line' => '1514', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '72' + }, + '1' => { + 'name' => 'rmpp_version', + 'type' => '232' + }, + '2' => { + 'name' => 'srcport', + 'type' => '1824' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_register_client_via' + }, + '78062' => { + 'Header' => undef, + 'Line' => '97', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '72' + }, + '1' => { + 'name' => 'rmpp_version', + 'type' => '232' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_register_client' + }, + '78633' => { + 'Header' => undef, + 'Line' => '74', + 'Param' => { + '0' => { + 'name' => 'mgmt', + 'type' => '72' + } + }, + 'Return' => '72', + 'ShortName' => 'mad_class_agent' + }, + '85176' => { + 'Header' => undef, + 'Line' => '111', + 'Param' => { + '0' => { + 'name' => 'srcport', + 'type' => '1881' + }, + '1' => { + 'name' => 'srcgid', + 'type' => '2912' + }, + '2' => { + 'name' => 'destgid', + 'type' => '2912' + }, + '3' => { + 'name' => 'sm_id', + 'type' => '1814' + }, + '4' => { + 'name' => 'buf', + 'type' => '220' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_path_query_via' + }, + '85272' => { + 'Header' => undef, + 'Line' => '133', + 'Param' => { + '0' => { + 'name' => 'rcvbuf', + 'type' => '220' + }, + '1' => { + 'name' => 'portid', + 'type' => '1814' + }, + '2' => { + 'name' => 'attrid', + 'type' => '108' + }, + '3' => { + 'name' => 'mod', + 'type' => '108' + }, + '4' => { + 'name' => 'timeout', + 'type' => '108' + }, + '5' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '2912', + 'ShortName' => 'smp_query_via' + }, + '85319' => { + 'Header' => undef, + 'Line' => '241', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '1814' + }, + '1' => { + 'name' => 'portnum', + 'type' => '5588' + }, + '2' => { + 'name' => 'gid', + 'type' => '85444' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_resolve_self' + }, + '85449' => { + 'Header' => undef, + 'Line' => '213', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '1814' + }, + '1' => { + 'name' => 'portnum', + 'type' => '5588' + }, + '2' => { + 'name' => 'gid', + 'type' => '85444' + }, + '3' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_resolve_self_via' + }, + '86001' => { + 'Header' => undef, + 'Line' => '206', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '1814' + }, + '1' => { + 'name' => 'addr_str', + 'type' => '227' + }, + '2' => { + 'name' => 'dest_type', + 'type' => '84764' + }, + '3' => { + 'name' => 'sm_id', + 'type' => '1814' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_resolve_portid_str' + }, + '86152' => { + 'Header' => undef, + 'Line' => '137', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '1814' + }, + '1' => { + 'name' => 'addr_str', + 'type' => '227' + }, + '2' => { + 'name' => 'dest_type', + 'type' => '84764' + }, + '3' => { + 'name' => 'sm_id', + 'type' => '1814' + }, + '4' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_resolve_portid_str_via' + }, + '87135' => { + 'Header' => undef, + 'Line' => '97', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '1814' + }, + '1' => { + 'name' => 'guid', + 'type' => '87804' + }, + '2' => { + 'name' => 'sm_id', + 'type' => '1814' + }, + '3' => { + 'name' => 'timeout', + 'type' => '72' + }, + '4' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_resolve_guid_via' + }, + '87825' => { + 'Header' => undef, + 'Line' => '75', + 'Param' => { + '0' => { + 'name' => 'portid', + 'type' => '1814' + }, + '1' => { + 'name' => 'gid', + 'type' => '2912' + }, + '2' => { + 'name' => 'sm_id', + 'type' => '1814' + }, + '3' => { + 'name' => 'timeout', + 'type' => '72' + }, + '4' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_resolve_gid_via' + }, + '88091' => { + 'Header' => undef, + 'Line' => '70', + 'Param' => { + '0' => { + 'name' => 'sm_id', + 'type' => '1814' + }, + '1' => { + 'name' => 'timeout', + 'type' => '72' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_resolve_smlid' + }, + '88190' => { + 'Header' => undef, + 'Line' => '48', + 'Param' => { + '0' => { + 'name' => 'sm_id', + 'type' => '1814' + }, + '1' => { + 'name' => 'timeout', + 'type' => '72' + }, + '2' => { + 'name' => 'srcport', + 'type' => '1881' + } + }, + 'Return' => '72', + 'ShortName' => 'ib_resolve_smlid_via' + }, + '96774' => { + 'Header' => undef, + 'Line' => '434', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '1824' + } + }, + 'Return' => '1', + 'ShortName' => 'mad_rpc_close_port' + }, + '96859' => { + 'Header' => undef, + 'Line' => '373', + 'Param' => { + '0' => { + 'name' => 'dev_name', + 'type' => '227' + }, + '1' => { + 'name' => 'dev_port', + 'type' => '72' + }, + '2' => { + 'name' => 'mgmt_classes', + 'type' => '5588' + }, + '3' => { + 'name' => 'num_classes', + 'type' => '72' + } + }, + 'Return' => '1824', + 'ShortName' => 'mad_rpc_open_port' + }, + '97991' => { + 'Header' => undef, + 'Line' => '345', + 'Param' => { + '0' => { + 'name' => 'dev_name', + 'type' => '227' + }, + '1' => { + 'name' => 'dev_port', + 'type' => '72' + }, + '2' => { + 'name' => 'mgmt_classes', + 'type' => '5588' + }, + '3' => { + 'name' => 'num_classes', + 'type' => '72' + } + }, + 'Return' => '1', + 'ShortName' => 'madrpc_init' + }, + '98905' => { + 'Header' => undef, + 'Line' => '338', + 'Param' => { + '0' => { + 'name' => 'rpc', + 'type' => '96752' + }, + '1' => { + 'name' => 'dport', + 'type' => '1814' + }, + '2' => { + 'name' => 'rmpp', + 'type' => '96757' + }, + '3' => { + 'name' => 'data', + 'type' => '220' + } + }, + 'Return' => '220', + 'ShortName' => 'madrpc_rmpp' + }, + '99062' => { + 'Header' => undef, + 'Line' => '333', + 'Param' => { + '0' => { + 'name' => 'rpc', + 'type' => '96752' + }, + '1' => { + 'name' => 'dport', + 'type' => '1814' + }, + '2' => { + 'name' => 'payload', + 'type' => '220' + }, + '3' => { + 'name' => 'rcvdata', + 'type' => '220' + } + }, + 'Return' => '220', + 'ShortName' => 'madrpc' + }, + '99219' => { + 'Header' => undef, + 'Line' => '1496', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '1881' + }, + '1' => { + 'name' => 'rpc', + 'type' => '96752' + }, + '2' => { + 'name' => 'dport', + 'type' => '1814' + }, + '3' => { + 'name' => 'rmpp', + 'type' => '96757' + }, + '4' => { + 'name' => 'data', + 'type' => '220' + } + }, + 'Return' => '220', + 'ShortName' => 'mad_rpc_rmpp' + } + }, + 'SymbolVersion' => { + 'bm_call_via' => 'bm_call_via@@IBMAD_1.3', + 'cc_config_status_via' => 'cc_config_status_via@@IBMAD_1.3', + 'cc_query_status_via' => 'cc_query_status_via@@IBMAD_1.3', + 'drpath2str' => 'drpath2str@@IBMAD_1.3', + 'ib_node_query_via' => 'ib_node_query_via@@IBMAD_1.3', + 'ib_path_query' => 'ib_path_query@@IBMAD_1.3', + 'ib_path_query_via' => 'ib_path_query_via@@IBMAD_1.3', + 'ib_resolve_gid_via' => 'ib_resolve_gid_via@@IBMAD_1.3', + 'ib_resolve_guid_via' => 'ib_resolve_guid_via@@IBMAD_1.3', + 'ib_resolve_portid_str' => 'ib_resolve_portid_str@@IBMAD_1.3', + 'ib_resolve_portid_str_via' => 'ib_resolve_portid_str_via@@IBMAD_1.3', + 'ib_resolve_self' => 'ib_resolve_self@@IBMAD_1.3', + 'ib_resolve_self_via' => 'ib_resolve_self_via@@IBMAD_1.3', + 'ib_resolve_smlid' => 'ib_resolve_smlid@@IBMAD_1.3', + 'ib_resolve_smlid_via' => 'ib_resolve_smlid_via@@IBMAD_1.3', + 'ib_vendor_call' => 'ib_vendor_call@@IBMAD_1.3', + 'ib_vendor_call_via' => 'ib_vendor_call_via@@IBMAD_1.3', + 'ibdebug' => 'ibdebug@@IBMAD_1.3', + 'mad_alloc' => 'mad_alloc@@IBMAD_1.3', + 'mad_build_pkt' => 'mad_build_pkt@@IBMAD_1.3', + 'mad_class_agent' => 'mad_class_agent@@IBMAD_1.3', + 'mad_decode_field' => 'mad_decode_field@@IBMAD_1.3', + 'mad_dump_array' => 'mad_dump_array@@IBMAD_1.3', + 'mad_dump_bitfield' => 'mad_dump_bitfield@@IBMAD_1.3', + 'mad_dump_cc_cacongestionentry' => 'mad_dump_cc_cacongestionentry@@IBMAD_1.3', + 'mad_dump_cc_cacongestionsetting' => 'mad_dump_cc_cacongestionsetting@@IBMAD_1.3', + 'mad_dump_cc_congestioncontroltable' => 'mad_dump_cc_congestioncontroltable@@IBMAD_1.3', + 'mad_dump_cc_congestioncontroltableentry' => 'mad_dump_cc_congestioncontroltableentry@@IBMAD_1.3', + 'mad_dump_cc_congestioninfo' => 'mad_dump_cc_congestioninfo@@IBMAD_1.3', + 'mad_dump_cc_congestionkeyinfo' => 'mad_dump_cc_congestionkeyinfo@@IBMAD_1.3', + 'mad_dump_cc_congestionlog' => 'mad_dump_cc_congestionlog@@IBMAD_1.3', + 'mad_dump_cc_congestionlogca' => 'mad_dump_cc_congestionlogca@@IBMAD_1.3', + 'mad_dump_cc_congestionlogentryca' => 'mad_dump_cc_congestionlogentryca@@IBMAD_1.3', + 'mad_dump_cc_congestionlogentryswitch' => 'mad_dump_cc_congestionlogentryswitch@@IBMAD_1.3', + 'mad_dump_cc_congestionlogswitch' => 'mad_dump_cc_congestionlogswitch@@IBMAD_1.3', + 'mad_dump_cc_switchcongestionsetting' => 'mad_dump_cc_switchcongestionsetting@@IBMAD_1.3', + 'mad_dump_cc_switchportcongestionsettingelement' => 'mad_dump_cc_switchportcongestionsettingelement@@IBMAD_1.3', + 'mad_dump_cc_timestamp' => 'mad_dump_cc_timestamp@@IBMAD_1.3', + 'mad_dump_classportinfo' => 'mad_dump_classportinfo@@IBMAD_1.3', + 'mad_dump_field' => 'mad_dump_field@@IBMAD_1.3', + 'mad_dump_fields' => 'mad_dump_fields@@IBMAD_1.3', + 'mad_dump_hex' => 'mad_dump_hex@@IBMAD_1.3', + 'mad_dump_int' => 'mad_dump_int@@IBMAD_1.3', + 'mad_dump_linkdowndefstate' => 'mad_dump_linkdowndefstate@@IBMAD_1.3', + 'mad_dump_linkspeed' => 'mad_dump_linkspeed@@IBMAD_1.3', + 'mad_dump_linkspeeden' => 'mad_dump_linkspeeden@@IBMAD_1.3', + 'mad_dump_linkspeedext' => 'mad_dump_linkspeedext@@IBMAD_1.3', + 'mad_dump_linkspeedexten' => 'mad_dump_linkspeedexten@@IBMAD_1.3', + 'mad_dump_linkspeedextsup' => 'mad_dump_linkspeedextsup@@IBMAD_1.3', + 'mad_dump_linkspeedsup' => 'mad_dump_linkspeedsup@@IBMAD_1.3', + 'mad_dump_linkwidth' => 'mad_dump_linkwidth@@IBMAD_1.3', + 'mad_dump_linkwidthen' => 'mad_dump_linkwidthen@@IBMAD_1.3', + 'mad_dump_linkwidthsup' => 'mad_dump_linkwidthsup@@IBMAD_1.3', + 'mad_dump_mlnx_ext_port_info' => 'mad_dump_mlnx_ext_port_info@@IBMAD_1.3', + 'mad_dump_mtu' => 'mad_dump_mtu@@IBMAD_1.3', + 'mad_dump_node_type' => 'mad_dump_node_type@@IBMAD_1.3', + 'mad_dump_nodedesc' => 'mad_dump_nodedesc@@IBMAD_1.3', + 'mad_dump_nodeinfo' => 'mad_dump_nodeinfo@@IBMAD_1.3', + 'mad_dump_opervls' => 'mad_dump_opervls@@IBMAD_1.3', + 'mad_dump_perfcounters' => 'mad_dump_perfcounters@@IBMAD_1.3', + 'mad_dump_perfcounters_ext' => 'mad_dump_perfcounters_ext@@IBMAD_1.3', + 'mad_dump_perfcounters_port_flow_ctl_counters' => 'mad_dump_perfcounters_port_flow_ctl_counters@@IBMAD_1.3', + 'mad_dump_perfcounters_port_op_rcv_counters' => 'mad_dump_perfcounters_port_op_rcv_counters@@IBMAD_1.3', + 'mad_dump_perfcounters_port_vl_op_data' => 'mad_dump_perfcounters_port_vl_op_data@@IBMAD_1.3', + 'mad_dump_perfcounters_port_vl_op_packet' => 'mad_dump_perfcounters_port_vl_op_packet@@IBMAD_1.3', + 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors' => 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors@@IBMAD_1.3', + 'mad_dump_perfcounters_port_vl_xmit_wait_counters' => 'mad_dump_perfcounters_port_vl_xmit_wait_counters@@IBMAD_1.3', + 'mad_dump_perfcounters_rcv_con_ctrl' => 'mad_dump_perfcounters_rcv_con_ctrl@@IBMAD_1.3', + 'mad_dump_perfcounters_rcv_err' => 'mad_dump_perfcounters_rcv_err@@IBMAD_1.3', + 'mad_dump_perfcounters_rcv_sl' => 'mad_dump_perfcounters_rcv_sl@@IBMAD_1.3', + 'mad_dump_perfcounters_sl_rcv_becn' => 'mad_dump_perfcounters_sl_rcv_becn@@IBMAD_1.3', + 'mad_dump_perfcounters_sl_rcv_fecn' => 'mad_dump_perfcounters_sl_rcv_fecn@@IBMAD_1.3', + 'mad_dump_perfcounters_sw_port_vl_congestion' => 'mad_dump_perfcounters_sw_port_vl_congestion@@IBMAD_1.3', + 'mad_dump_perfcounters_vl_xmit_time_cong' => 'mad_dump_perfcounters_vl_xmit_time_cong@@IBMAD_1.3', + 'mad_dump_perfcounters_xmit_con_ctrl' => 'mad_dump_perfcounters_xmit_con_ctrl@@IBMAD_1.3', + 'mad_dump_perfcounters_xmt_disc' => 'mad_dump_perfcounters_xmt_disc@@IBMAD_1.3', + 'mad_dump_perfcounters_xmt_sl' => 'mad_dump_perfcounters_xmt_sl@@IBMAD_1.3', + 'mad_dump_physportstate' => 'mad_dump_physportstate@@IBMAD_1.3', + 'mad_dump_port_ext_speeds_counters' => 'mad_dump_port_ext_speeds_counters@@IBMAD_1.3', + 'mad_dump_port_ext_speeds_counters_rsfec_active' => 'mad_dump_port_ext_speeds_counters_rsfec_active@@IBMAD_1.3', + 'mad_dump_portcapmask' => 'mad_dump_portcapmask@@IBMAD_1.3', + 'mad_dump_portcapmask2' => 'mad_dump_portcapmask2@@IBMAD_1.3', + 'mad_dump_portinfo' => 'mad_dump_portinfo@@IBMAD_1.3', + 'mad_dump_portinfo_ext' => 'mad_dump_portinfo_ext@@IBMAD_1.3', + 'mad_dump_portsamples_control' => 'mad_dump_portsamples_control@@IBMAD_1.3', + 'mad_dump_portsamples_result' => 'mad_dump_portsamples_result@@IBMAD_1.3', + 'mad_dump_portstate' => 'mad_dump_portstate@@IBMAD_1.3', + 'mad_dump_portstates' => 'mad_dump_portstates@@IBMAD_1.3', + 'mad_dump_rhex' => 'mad_dump_rhex@@IBMAD_1.3', + 'mad_dump_sltovl' => 'mad_dump_sltovl@@IBMAD_1.3', + 'mad_dump_string' => 'mad_dump_string@@IBMAD_1.3', + 'mad_dump_switchinfo' => 'mad_dump_switchinfo@@IBMAD_1.3', + 'mad_dump_uint' => 'mad_dump_uint@@IBMAD_1.3', + 'mad_dump_val' => 'mad_dump_val@@IBMAD_1.3', + 'mad_dump_vlarbitration' => 'mad_dump_vlarbitration@@IBMAD_1.3', + 'mad_dump_vlcap' => 'mad_dump_vlcap@@IBMAD_1.3', + 'mad_encode' => 'mad_encode@@IBMAD_1.3', + 'mad_encode_field' => 'mad_encode_field@@IBMAD_1.3', + 'mad_field_name' => 'mad_field_name@@IBMAD_1.3', + 'mad_free' => 'mad_free@@IBMAD_1.3', + 'mad_get_array' => 'mad_get_array@@IBMAD_1.3', + 'mad_get_field' => 'mad_get_field@@IBMAD_1.3', + 'mad_get_field64' => 'mad_get_field64@@IBMAD_1.3', + 'mad_get_retries' => 'mad_get_retries@@IBMAD_1.3', + 'mad_get_timeout' => 'mad_get_timeout@@IBMAD_1.3', + 'mad_print_field' => 'mad_print_field@@IBMAD_1.3', + 'mad_receive' => 'mad_receive@@IBMAD_1.3', + 'mad_receive_via' => 'mad_receive_via@@IBMAD_1.3', + 'mad_register_client' => 'mad_register_client@@IBMAD_1.3', + 'mad_register_client_via' => 'mad_register_client_via@@IBMAD_1.3', + 'mad_register_server' => 'mad_register_server@@IBMAD_1.3', + 'mad_register_server_via' => 'mad_register_server_via@@IBMAD_1.3', + 'mad_respond' => 'mad_respond@@IBMAD_1.3', + 'mad_respond_via' => 'mad_respond_via@@IBMAD_1.3', + 'mad_rpc' => 'mad_rpc@@IBMAD_1.3', + 'mad_rpc_class_agent' => 'mad_rpc_class_agent@@IBMAD_1.3', + 'mad_rpc_close_port' => 'mad_rpc_close_port@@IBMAD_1.3', + 'mad_rpc_open_port' => 'mad_rpc_open_port@@IBMAD_1.3', + 'mad_rpc_portid' => 'mad_rpc_portid@@IBMAD_1.3', + 'mad_rpc_rmpp' => 'mad_rpc_rmpp@@IBMAD_1.3', + 'mad_rpc_set_retries' => 'mad_rpc_set_retries@@IBMAD_1.3', + 'mad_rpc_set_timeout' => 'mad_rpc_set_timeout@@IBMAD_1.3', + 'mad_send' => 'mad_send@@IBMAD_1.3', + 'mad_send_via' => 'mad_send_via@@IBMAD_1.3', + 'mad_set_array' => 'mad_set_array@@IBMAD_1.3', + 'mad_set_field' => 'mad_set_field@@IBMAD_1.3', + 'mad_set_field64' => 'mad_set_field64@@IBMAD_1.3', + 'mad_trid' => 'mad_trid@@IBMAD_1.3', + 'madrpc' => 'madrpc@@IBMAD_1.3', + 'madrpc_init' => 'madrpc_init@@IBMAD_1.3', + 'madrpc_portid' => 'madrpc_portid@@IBMAD_1.3', + 'madrpc_rmpp' => 'madrpc_rmpp@@IBMAD_1.3', + 'madrpc_save_mad' => 'madrpc_save_mad@@IBMAD_1.3', + 'madrpc_set_retries' => 'madrpc_set_retries@@IBMAD_1.3', + 'madrpc_set_timeout' => 'madrpc_set_timeout@@IBMAD_1.3', + 'madrpc_show_errors' => 'madrpc_show_errors@@IBMAD_1.3', + 'performance_reset_via' => 'performance_reset_via@@IBMAD_1.3', + 'pma_query_via' => 'pma_query_via@@IBMAD_1.3', + 'portid2portnum' => 'portid2portnum@@IBMAD_1.3', + 'portid2str' => 'portid2str@@IBMAD_1.3', + 'sa_call' => 'sa_call@@IBMAD_1.3', + 'sa_rpc_call' => 'sa_rpc_call@@IBMAD_1.3', + 'smp_mkey_get' => 'smp_mkey_get@@IBMAD_1.3', + 'smp_mkey_set' => 'smp_mkey_set@@IBMAD_1.3', + 'smp_query' => 'smp_query@@IBMAD_1.3', + 'smp_query_status_via' => 'smp_query_status_via@@IBMAD_1.3', + 'smp_query_via' => 'smp_query_via@@IBMAD_1.3', + 'smp_set' => 'smp_set@@IBMAD_1.3', + 'smp_set_status_via' => 'smp_set_status_via@@IBMAD_1.3', + 'smp_set_via' => 'smp_set_via@@IBMAD_1.3', + 'str2drpath' => 'str2drpath@@IBMAD_1.3', + 'xdump' => 'xdump@@IBMAD_1.3' + }, + 'Symbols' => { + 'libibmad.so.5.3.49.0' => { + 'bm_call_via@@IBMAD_1.3' => 1, + 'cc_config_status_via@@IBMAD_1.3' => 1, + 'cc_query_status_via@@IBMAD_1.3' => 1, + 'drpath2str@@IBMAD_1.3' => 1, + 'ib_node_query_via@@IBMAD_1.3' => 1, + 'ib_path_query@@IBMAD_1.3' => 1, + 'ib_path_query_via@@IBMAD_1.3' => 1, + 'ib_resolve_gid_via@@IBMAD_1.3' => 1, + 'ib_resolve_guid_via@@IBMAD_1.3' => 1, + 'ib_resolve_portid_str@@IBMAD_1.3' => 1, + 'ib_resolve_portid_str_via@@IBMAD_1.3' => 1, + 'ib_resolve_self@@IBMAD_1.3' => 1, + 'ib_resolve_self_via@@IBMAD_1.3' => 1, + 'ib_resolve_smlid@@IBMAD_1.3' => 1, + 'ib_resolve_smlid_via@@IBMAD_1.3' => 1, + 'ib_vendor_call@@IBMAD_1.3' => 1, + 'ib_vendor_call_via@@IBMAD_1.3' => 1, + 'ibdebug@@IBMAD_1.3' => -4, + 'mad_alloc@@IBMAD_1.3' => 1, + 'mad_build_pkt@@IBMAD_1.3' => 1, + 'mad_class_agent@@IBMAD_1.3' => 1, + 'mad_decode_field@@IBMAD_1.3' => 1, + 'mad_dump_array@@IBMAD_1.3' => 1, + 'mad_dump_bitfield@@IBMAD_1.3' => 1, + 'mad_dump_cc_cacongestionentry@@IBMAD_1.3' => 1, + 'mad_dump_cc_cacongestionsetting@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestioncontroltable@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestioncontroltableentry@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestioninfo@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionkeyinfo@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlog@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlogca@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlogentryca@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlogentryswitch@@IBMAD_1.3' => 1, + 'mad_dump_cc_congestionlogswitch@@IBMAD_1.3' => 1, + 'mad_dump_cc_switchcongestionsetting@@IBMAD_1.3' => 1, + 'mad_dump_cc_switchportcongestionsettingelement@@IBMAD_1.3' => 1, + 'mad_dump_cc_timestamp@@IBMAD_1.3' => 1, + 'mad_dump_classportinfo@@IBMAD_1.3' => 1, + 'mad_dump_field@@IBMAD_1.3' => 1, + 'mad_dump_fields@@IBMAD_1.3' => 1, + 'mad_dump_hex@@IBMAD_1.3' => 1, + 'mad_dump_int@@IBMAD_1.3' => 1, + 'mad_dump_linkdowndefstate@@IBMAD_1.3' => 1, + 'mad_dump_linkspeed@@IBMAD_1.3' => 1, + 'mad_dump_linkspeeden@@IBMAD_1.3' => 1, + 'mad_dump_linkspeedext@@IBMAD_1.3' => 1, + 'mad_dump_linkspeedexten@@IBMAD_1.3' => 1, + 'mad_dump_linkspeedextsup@@IBMAD_1.3' => 1, + 'mad_dump_linkspeedsup@@IBMAD_1.3' => 1, + 'mad_dump_linkwidth@@IBMAD_1.3' => 1, + 'mad_dump_linkwidthen@@IBMAD_1.3' => 1, + 'mad_dump_linkwidthsup@@IBMAD_1.3' => 1, + 'mad_dump_mlnx_ext_port_info@@IBMAD_1.3' => 1, + 'mad_dump_mtu@@IBMAD_1.3' => 1, + 'mad_dump_node_type@@IBMAD_1.3' => 1, + 'mad_dump_nodedesc@@IBMAD_1.3' => 1, + 'mad_dump_nodeinfo@@IBMAD_1.3' => 1, + 'mad_dump_opervls@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_ext@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_flow_ctl_counters@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_op_rcv_counters@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_vl_op_data@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_vl_op_packet@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_vl_xmit_flow_ctl_update_errors@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_port_vl_xmit_wait_counters@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_rcv_con_ctrl@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_rcv_err@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_rcv_sl@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_sl_rcv_becn@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_sl_rcv_fecn@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_sw_port_vl_congestion@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_vl_xmit_time_cong@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_xmit_con_ctrl@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_xmt_disc@@IBMAD_1.3' => 1, + 'mad_dump_perfcounters_xmt_sl@@IBMAD_1.3' => 1, + 'mad_dump_physportstate@@IBMAD_1.3' => 1, + 'mad_dump_port_ext_speeds_counters@@IBMAD_1.3' => 1, + 'mad_dump_port_ext_speeds_counters_rsfec_active@@IBMAD_1.3' => 1, + 'mad_dump_portcapmask2@@IBMAD_1.3' => 1, + 'mad_dump_portcapmask@@IBMAD_1.3' => 1, + 'mad_dump_portinfo@@IBMAD_1.3' => 1, + 'mad_dump_portinfo_ext@@IBMAD_1.3' => 1, + 'mad_dump_portsamples_control@@IBMAD_1.3' => 1, + 'mad_dump_portsamples_result@@IBMAD_1.3' => 1, + 'mad_dump_portstate@@IBMAD_1.3' => 1, + 'mad_dump_portstates@@IBMAD_1.3' => 1, + 'mad_dump_rhex@@IBMAD_1.3' => 1, + 'mad_dump_sltovl@@IBMAD_1.3' => 1, + 'mad_dump_string@@IBMAD_1.3' => 1, + 'mad_dump_switchinfo@@IBMAD_1.3' => 1, + 'mad_dump_uint@@IBMAD_1.3' => 1, + 'mad_dump_val@@IBMAD_1.3' => 1, + 'mad_dump_vlarbitration@@IBMAD_1.3' => 1, + 'mad_dump_vlcap@@IBMAD_1.3' => 1, + 'mad_encode@@IBMAD_1.3' => 1, + 'mad_encode_field@@IBMAD_1.3' => 1, + 'mad_field_name@@IBMAD_1.3' => 1, + 'mad_free@@IBMAD_1.3' => 1, + 'mad_get_array@@IBMAD_1.3' => 1, + 'mad_get_field64@@IBMAD_1.3' => 1, + 'mad_get_field@@IBMAD_1.3' => 1, + 'mad_get_retries@@IBMAD_1.3' => 1, + 'mad_get_timeout@@IBMAD_1.3' => 1, + 'mad_print_field@@IBMAD_1.3' => 1, + 'mad_receive@@IBMAD_1.3' => 1, + 'mad_receive_via@@IBMAD_1.3' => 1, + 'mad_register_client@@IBMAD_1.3' => 1, + 'mad_register_client_via@@IBMAD_1.3' => 1, + 'mad_register_server@@IBMAD_1.3' => 1, + 'mad_register_server_via@@IBMAD_1.3' => 1, + 'mad_respond@@IBMAD_1.3' => 1, + 'mad_respond_via@@IBMAD_1.3' => 1, + 'mad_rpc@@IBMAD_1.3' => 1, + 'mad_rpc_class_agent@@IBMAD_1.3' => 1, + 'mad_rpc_close_port@@IBMAD_1.3' => 1, + 'mad_rpc_open_port@@IBMAD_1.3' => 1, + 'mad_rpc_portid@@IBMAD_1.3' => 1, + 'mad_rpc_rmpp@@IBMAD_1.3' => 1, + 'mad_rpc_set_retries@@IBMAD_1.3' => 1, + 'mad_rpc_set_timeout@@IBMAD_1.3' => 1, + 'mad_send@@IBMAD_1.3' => 1, + 'mad_send_via@@IBMAD_1.3' => 1, + 'mad_set_array@@IBMAD_1.3' => 1, + 'mad_set_field64@@IBMAD_1.3' => 1, + 'mad_set_field@@IBMAD_1.3' => 1, + 'mad_trid@@IBMAD_1.3' => 1, + 'madrpc@@IBMAD_1.3' => 1, + 'madrpc_init@@IBMAD_1.3' => 1, + 'madrpc_portid@@IBMAD_1.3' => 1, + 'madrpc_rmpp@@IBMAD_1.3' => 1, + 'madrpc_save_mad@@IBMAD_1.3' => 1, + 'madrpc_set_retries@@IBMAD_1.3' => 1, + 'madrpc_set_timeout@@IBMAD_1.3' => 1, + 'madrpc_show_errors@@IBMAD_1.3' => 1, + 'performance_reset_via@@IBMAD_1.3' => 1, + 'pma_query_via@@IBMAD_1.3' => 1, + 'portid2portnum@@IBMAD_1.3' => 1, + 'portid2str@@IBMAD_1.3' => 1, + 'sa_call@@IBMAD_1.3' => 1, + 'sa_rpc_call@@IBMAD_1.3' => 1, + 'smp_mkey_get@@IBMAD_1.3' => 1, + 'smp_mkey_set@@IBMAD_1.3' => 1, + 'smp_query@@IBMAD_1.3' => 1, + 'smp_query_status_via@@IBMAD_1.3' => 1, + 'smp_query_via@@IBMAD_1.3' => 1, + 'smp_set@@IBMAD_1.3' => 1, + 'smp_set_status_via@@IBMAD_1.3' => 1, + 'smp_set_via@@IBMAD_1.3' => 1, + 'str2drpath@@IBMAD_1.3' => 1, + 'xdump@@IBMAD_1.3' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '101' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '1036' => { + 'BaseType' => '810', + 'Header' => undef, + 'Line' => '243', + 'Name' => 'ibmad_gid_t', + 'Size' => '16', + 'Type' => 'Typedef' + }, + '108' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '111118' => { + 'Header' => undef, + 'Line' => '1382', + 'Memb' => { + '0' => { + 'name' => 'attrid', + 'offset' => '0', + 'type' => '108' + }, + '1' => { + 'name' => 'mod', + 'offset' => '4', + 'type' => '108' + }, + '2' => { + 'name' => 'mask', + 'offset' => '8', + 'type' => '268' + }, + '3' => { + 'name' => 'method', + 'offset' => '22', + 'type' => '108' + }, + '4' => { + 'name' => 'trid', + 'offset' => '36', + 'type' => '268' + }, + '5' => { + 'name' => 'recsz', + 'offset' => '50', + 'type' => '108' + }, + '6' => { + 'name' => 'rmpp', + 'offset' => '54', + 'type' => '111107' + } + }, + 'Name' => 'struct ib_sa_call', + 'Size' => '56', + 'Type' => 'Struct' + }, + '111222' => { + 'BaseType' => '111118', + 'Header' => undef, + 'Line' => '1391', + 'Name' => 'ib_sa_call_t', + 'Size' => '56', + 'Type' => 'Typedef' + }, + '113002' => { + 'BaseType' => '111222', + 'Name' => 'ib_sa_call_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '115' => { + 'Name' => 'signed char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '122' => { + 'BaseType' => '101', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '129325' => { + 'Header' => undef, + 'Line' => '1393', + 'Memb' => { + '0' => { + 'name' => 'method', + 'offset' => '0', + 'type' => '108' + }, + '1' => { + 'name' => 'mgmt_class', + 'offset' => '4', + 'type' => '108' + }, + '2' => { + 'name' => 'attrid', + 'offset' => '8', + 'type' => '108' + }, + '3' => { + 'name' => 'mod', + 'offset' => '18', + 'type' => '108' + }, + '4' => { + 'name' => 'oui', + 'offset' => '22', + 'type' => '256' + }, + '5' => { + 'name' => 'timeout', + 'offset' => '32', + 'type' => '108' + }, + '6' => { + 'name' => 'rmpp', + 'offset' => '36', + 'type' => '129314' + } + }, + 'Name' => 'struct ib_vendor_call', + 'Size' => '44', + 'Type' => 'Struct' + }, + '129429' => { + 'BaseType' => '129325', + 'Header' => undef, + 'Line' => '1401', + 'Name' => 'ib_vendor_call_t', + 'Size' => '44', + 'Type' => 'Typedef' + }, + '130499' => { + 'BaseType' => '129429', + 'Name' => 'ib_vendor_call_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1356' => { + 'Header' => undef, + 'Line' => '308', + 'Memb' => { + '0' => { + 'name' => 'lid', + 'offset' => '0', + 'type' => '72' + }, + '1' => { + 'name' => 'drpath', + 'offset' => '4', + 'type' => '1122' + }, + '2' => { + 'name' => 'grh_present', + 'offset' => '118', + 'type' => '72' + }, + '3' => { + 'name' => 'gid', + 'offset' => '128', + 'type' => '1036' + }, + '4' => { + 'name' => 'qp', + 'offset' => '150', + 'type' => '256' + }, + '5' => { + 'name' => 'qkey', + 'offset' => '256', + 'type' => '256' + }, + '6' => { + 'name' => 'sl', + 'offset' => '260', + 'type' => '232' + }, + '7' => { + 'name' => 'pkey_idx', + 'offset' => '264', + 'type' => '108' + } + }, + 'Name' => 'struct portid', + 'Size' => '112', + 'Type' => 'Struct' + }, + '1471' => { + 'BaseType' => '1356', + 'Header' => undef, + 'Line' => '317', + 'Name' => 'ib_portid_t', + 'Size' => '112', + 'Type' => 'Typedef' + }, + '153' => { + 'BaseType' => '108', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '1632' => { + 'Header' => undef, + 'Line' => '1403', + 'Memb' => { + '0' => { + 'name' => 'method', + 'offset' => '0', + 'type' => '108' + }, + '1' => { + 'name' => 'attrid', + 'offset' => '4', + 'type' => '108' + }, + '2' => { + 'name' => 'mod', + 'offset' => '8', + 'type' => '108' + }, + '3' => { + 'name' => 'timeout', + 'offset' => '18', + 'type' => '108' + }, + '4' => { + 'name' => 'bkey', + 'offset' => '22', + 'type' => '268' + } + }, + 'Name' => 'struct ib_bm_call', + 'Size' => '24', + 'Type' => 'Struct' + }, + '165' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '1710' => { + 'BaseType' => '1632', + 'Header' => undef, + 'Line' => '1409', + 'Name' => 'ib_bm_call_t', + 'Size' => '24', + 'Type' => 'Typedef' + }, + '172' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '1814' => { + 'BaseType' => '1471', + 'Name' => 'ib_portid_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1824' => { + 'BaseType' => '1829', + 'Name' => 'struct ibmad_port*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1829' => { + 'Header' => undef, + 'Line' => '39', + 'Memb' => { + '0' => { + 'name' => 'port_id', + 'offset' => '0', + 'type' => '72' + }, + '1' => { + 'name' => 'class_agents', + 'offset' => '4', + 'type' => '5015' + }, + '2' => { + 'name' => 'timeout', + 'offset' => '4136', + 'type' => '72' + }, + '3' => { + 'name' => 'retries', + 'offset' => '4146', + 'type' => '72' + }, + '4' => { + 'name' => 'smp_mkey', + 'offset' => '4160', + 'type' => '268' + } + }, + 'Name' => 'struct ibmad_port', + 'Size' => '1048', + 'Type' => 'Struct' + }, + '1834' => { + 'BaseType' => '1829', + 'Name' => 'struct ibmad_port const', + 'Type' => 'Const' + }, + '184' => { + 'BaseType' => '165', + 'Header' => undef, + 'Line' => '152', + 'Name' => '__off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '1881' => { + 'BaseType' => '1834', + 'Name' => 'struct ibmad_port const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '196' => { + 'BaseType' => '165', + 'Header' => undef, + 'Line' => '153', + 'Name' => '__off64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '220' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '227' => { + 'BaseType' => '89', + 'Name' => 'char*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '232' => { + 'BaseType' => '122', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '256' => { + 'BaseType' => '153', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '268' => { + 'BaseType' => '172', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2912' => { + 'BaseType' => '232', + 'Name' => 'uint8_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2917' => { + 'BaseType' => '1710', + 'Name' => 'ib_bm_call_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305' => { + 'Header' => undef, + 'Line' => '49', + 'Memb' => { + '0' => { + 'name' => '_flags', + 'offset' => '0', + 'type' => '72' + }, + '1' => { + 'name' => '_IO_read_ptr', + 'offset' => '8', + 'type' => '227' + }, + '10' => { + 'name' => '_IO_backup_base', + 'offset' => '128', + 'type' => '227' + }, + '11' => { + 'name' => '_IO_save_end', + 'offset' => '136', + 'type' => '227' + }, + '12' => { + 'name' => '_markers', + 'offset' => '150', + 'type' => '721' + }, + '13' => { + 'name' => '_chain', + 'offset' => '260', + 'type' => '726' + }, + '14' => { + 'name' => '_fileno', + 'offset' => '274', + 'type' => '72' + }, + '15' => { + 'name' => '_flags2', + 'offset' => '278', + 'type' => '72' + }, + '16' => { + 'name' => '_old_offset', + 'offset' => '288', + 'type' => '184' + }, + '17' => { + 'name' => '_cur_column', + 'offset' => '296', + 'type' => '65' + }, + '18' => { + 'name' => '_vtable_offset', + 'offset' => '304', + 'type' => '115' + }, + '19' => { + 'name' => '_shortbuf', + 'offset' => '305', + 'type' => '731' + }, + '2' => { + 'name' => '_IO_read_end', + 'offset' => '22', + 'type' => '227' + }, + '20' => { + 'name' => '_lock', + 'offset' => '310', + 'type' => '747' + }, + '21' => { + 'name' => '_offset', + 'offset' => '324', + 'type' => '196' + }, + '22' => { + 'name' => '_codecvt', + 'offset' => '338', + 'type' => '757' + }, + '23' => { + 'name' => '_wide_data', + 'offset' => '352', + 'type' => '767' + }, + '24' => { + 'name' => '_freeres_list', + 'offset' => '360', + 'type' => '726' + }, + '25' => { + 'name' => '_freeres_buf', + 'offset' => '374', + 'type' => '220' + }, + '26' => { + 'name' => '__pad5', + 'offset' => '388', + 'type' => '46' + }, + '27' => { + 'name' => '_mode', + 'offset' => '402', + 'type' => '72' + }, + '28' => { + 'name' => '_unused2', + 'offset' => '406', + 'type' => '772' + }, + '3' => { + 'name' => '_IO_read_base', + 'offset' => '36', + 'type' => '227' + }, + '4' => { + 'name' => '_IO_write_base', + 'offset' => '50', + 'type' => '227' + }, + '5' => { + 'name' => '_IO_write_ptr', + 'offset' => '64', + 'type' => '227' + }, + '6' => { + 'name' => '_IO_write_end', + 'offset' => '72', + 'type' => '227' + }, + '7' => { + 'name' => '_IO_buf_base', + 'offset' => '86', + 'type' => '227' + }, + '8' => { + 'name' => '_IO_buf_end', + 'offset' => '100', + 'type' => '227' + }, + '9' => { + 'name' => '_IO_save_base', + 'offset' => '114', + 'type' => '227' + } + }, + 'Name' => 'struct _IO_FILE', + 'Size' => '216', + 'Type' => 'Struct' + }, + '46' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '5015' => { + 'BaseType' => '72', + 'Name' => 'int[256]', + 'Size' => '1024', + 'Type' => 'Array' + }, + '5588' => { + 'BaseType' => '72', + 'Name' => 'int*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '58' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '65' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '6858' => { + 'Header' => undef, + 'Line' => '330', + 'Memb' => { + '0' => { + 'name' => 'IB_NO_FIELD', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_GID_PREFIX_F', + 'value' => '1' + }, + '10' => { + 'name' => 'IB_DRSMP_HOPPTR_F', + 'value' => '10' + }, + '100' => { + 'name' => 'IB_SW_OPT_SLTOVL_MAPPING_F', + 'value' => '97' + }, + '101' => { + 'name' => 'IB_SW_LIDS_PER_PORT_F', + 'value' => '98' + }, + '102' => { + 'name' => 'IB_SW_PARTITION_ENFORCE_CAP_F', + 'value' => '99' + }, + '103' => { + 'name' => 'IB_SW_PARTITION_ENF_INB_F', + 'value' => '100' + }, + '104' => { + 'name' => 'IB_SW_PARTITION_ENF_OUTB_F', + 'value' => '101' + }, + '105' => { + 'name' => 'IB_SW_FILTER_RAW_INB_F', + 'value' => '102' + }, + '106' => { + 'name' => 'IB_SW_FILTER_RAW_OUTB_F', + 'value' => '103' + }, + '107' => { + 'name' => 'IB_SW_ENHANCED_PORT0_F', + 'value' => '104' + }, + '108' => { + 'name' => 'IB_SW_MCAST_FDB_TOP_F', + 'value' => '105' + }, + '109' => { + 'name' => 'IB_SW_LAST_F', + 'value' => '106' + }, + '11' => { + 'name' => 'IB_DRSMP_STATUS_F', + 'value' => '11' + }, + '110' => { + 'name' => 'IB_LINEAR_FORW_TBL_F', + 'value' => '107' + }, + '111' => { + 'name' => 'IB_MULTICAST_FORW_TBL_F', + 'value' => '108' + }, + '112' => { + 'name' => 'IB_NODE_DESC_F', + 'value' => '109' + }, + '113' => { + 'name' => 'IB_NOTICE_IS_GENERIC_F', + 'value' => '110' + }, + '114' => { + 'name' => 'IB_NOTICE_TYPE_F', + 'value' => '111' + }, + '115' => { + 'name' => 'IB_NOTICE_PRODUCER_F', + 'value' => '112' + }, + '116' => { + 'name' => 'IB_NOTICE_TRAP_NUMBER_F', + 'value' => '113' + }, + '117' => { + 'name' => 'IB_NOTICE_ISSUER_LID_F', + 'value' => '114' + }, + '118' => { + 'name' => 'IB_NOTICE_TOGGLE_F', + 'value' => '115' + }, + '119' => { + 'name' => 'IB_NOTICE_COUNT_F', + 'value' => '116' + }, + '12' => { + 'name' => 'IB_DRSMP_DIRECTION_F', + 'value' => '12' + }, + '120' => { + 'name' => 'IB_NOTICE_DATA_DETAILS_F', + 'value' => '117' + }, + '121' => { + 'name' => 'IB_NOTICE_DATA_LID_F', + 'value' => '118' + }, + '122' => { + 'name' => 'IB_NOTICE_DATA_144_LID_F', + 'value' => '119' + }, + '123' => { + 'name' => 'IB_NOTICE_DATA_144_CAPMASK_F', + 'value' => '120' + }, + '124' => { + 'name' => 'IB_PC_FIRST_F', + 'value' => '121' + }, + '125' => { + 'name' => 'IB_PC_PORT_SELECT_F', + 'value' => '121' + }, + '126' => { + 'name' => 'IB_PC_COUNTER_SELECT_F', + 'value' => '122' + }, + '127' => { + 'name' => 'IB_PC_ERR_SYM_F', + 'value' => '123' + }, + '128' => { + 'name' => 'IB_PC_LINK_RECOVERS_F', + 'value' => '124' + }, + '129' => { + 'name' => 'IB_PC_LINK_DOWNED_F', + 'value' => '125' + }, + '13' => { + 'name' => 'IB_MAD_TRID_F', + 'value' => '13' + }, + '130' => { + 'name' => 'IB_PC_ERR_RCV_F', + 'value' => '126' + }, + '131' => { + 'name' => 'IB_PC_ERR_PHYSRCV_F', + 'value' => '127' + }, + '132' => { + 'name' => 'IB_PC_ERR_SWITCH_REL_F', + 'value' => '128' + }, + '133' => { + 'name' => 'IB_PC_XMT_DISCARDS_F', + 'value' => '129' + }, + '134' => { + 'name' => 'IB_PC_ERR_XMTCONSTR_F', + 'value' => '130' + }, + '135' => { + 'name' => 'IB_PC_ERR_RCVCONSTR_F', + 'value' => '131' + }, + '136' => { + 'name' => 'IB_PC_COUNTER_SELECT2_F', + 'value' => '132' + }, + '137' => { + 'name' => 'IB_PC_ERR_LOCALINTEG_F', + 'value' => '133' + }, + '138' => { + 'name' => 'IB_PC_ERR_EXCESS_OVR_F', + 'value' => '134' + }, + '139' => { + 'name' => 'IB_PC_VL15_DROPPED_F', + 'value' => '135' + }, + '14' => { + 'name' => 'IB_MAD_ATTRID_F', + 'value' => '14' + }, + '140' => { + 'name' => 'IB_PC_XMT_BYTES_F', + 'value' => '136' + }, + '141' => { + 'name' => 'IB_PC_RCV_BYTES_F', + 'value' => '137' + }, + '142' => { + 'name' => 'IB_PC_XMT_PKTS_F', + 'value' => '138' + }, + '143' => { + 'name' => 'IB_PC_RCV_PKTS_F', + 'value' => '139' + }, + '144' => { + 'name' => 'IB_PC_XMT_WAIT_F', + 'value' => '140' + }, + '145' => { + 'name' => 'IB_PC_LAST_F', + 'value' => '141' + }, + '146' => { + 'name' => 'IB_SMINFO_GUID_F', + 'value' => '142' + }, + '147' => { + 'name' => 'IB_SMINFO_KEY_F', + 'value' => '143' + }, + '148' => { + 'name' => 'IB_SMINFO_ACT_F', + 'value' => '144' + }, + '149' => { + 'name' => 'IB_SMINFO_PRIO_F', + 'value' => '145' + }, + '15' => { + 'name' => 'IB_MAD_ATTRMOD_F', + 'value' => '15' + }, + '150' => { + 'name' => 'IB_SMINFO_STATE_F', + 'value' => '146' + }, + '151' => { + 'name' => 'IB_SA_RMPP_VERS_F', + 'value' => '147' + }, + '152' => { + 'name' => 'IB_SA_RMPP_TYPE_F', + 'value' => '148' + }, + '153' => { + 'name' => 'IB_SA_RMPP_RESP_F', + 'value' => '149' + }, + '154' => { + 'name' => 'IB_SA_RMPP_FLAGS_F', + 'value' => '150' + }, + '155' => { + 'name' => 'IB_SA_RMPP_STATUS_F', + 'value' => '151' + }, + '156' => { + 'name' => 'IB_SA_RMPP_D1_F', + 'value' => '152' + }, + '157' => { + 'name' => 'IB_SA_RMPP_SEGNUM_F', + 'value' => '153' + }, + '158' => { + 'name' => 'IB_SA_RMPP_D2_F', + 'value' => '154' + }, + '159' => { + 'name' => 'IB_SA_RMPP_LEN_F', + 'value' => '155' + }, + '16' => { + 'name' => 'IB_MAD_MKEY_F', + 'value' => '16' + }, + '160' => { + 'name' => 'IB_SA_RMPP_NEWWIN_F', + 'value' => '156' + }, + '161' => { + 'name' => 'IB_SA_MP_NPATH_F', + 'value' => '157' + }, + '162' => { + 'name' => 'IB_SA_MP_NSRC_F', + 'value' => '158' + }, + '163' => { + 'name' => 'IB_SA_MP_NDEST_F', + 'value' => '159' + }, + '164' => { + 'name' => 'IB_SA_MP_GID0_F', + 'value' => '160' + }, + '165' => { + 'name' => 'IB_SA_PR_DGID_F', + 'value' => '161' + }, + '166' => { + 'name' => 'IB_SA_PR_SGID_F', + 'value' => '162' + }, + '167' => { + 'name' => 'IB_SA_PR_DLID_F', + 'value' => '163' + }, + '168' => { + 'name' => 'IB_SA_PR_SLID_F', + 'value' => '164' + }, + '169' => { + 'name' => 'IB_SA_PR_NPATH_F', + 'value' => '165' + }, + '17' => { + 'name' => 'IB_DRSMP_DRDLID_F', + 'value' => '17' + }, + '170' => { + 'name' => 'IB_SA_PR_SL_F', + 'value' => '166' + }, + '171' => { + 'name' => 'IB_SA_MCM_MGID_F', + 'value' => '167' + }, + '172' => { + 'name' => 'IB_SA_MCM_PORTGID_F', + 'value' => '168' + }, + '173' => { + 'name' => 'IB_SA_MCM_QKEY_F', + 'value' => '169' + }, + '174' => { + 'name' => 'IB_SA_MCM_MLID_F', + 'value' => '170' + }, + '175' => { + 'name' => 'IB_SA_MCM_SL_F', + 'value' => '171' + }, + '176' => { + 'name' => 'IB_SA_MCM_MTU_F', + 'value' => '172' + }, + '177' => { + 'name' => 'IB_SA_MCM_RATE_F', + 'value' => '173' + }, + '178' => { + 'name' => 'IB_SA_MCM_TCLASS_F', + 'value' => '174' + }, + '179' => { + 'name' => 'IB_SA_MCM_PKEY_F', + 'value' => '175' + }, + '18' => { + 'name' => 'IB_DRSMP_DRSLID_F', + 'value' => '18' + }, + '180' => { + 'name' => 'IB_SA_MCM_FLOW_LABEL_F', + 'value' => '176' + }, + '181' => { + 'name' => 'IB_SA_MCM_JOIN_STATE_F', + 'value' => '177' + }, + '182' => { + 'name' => 'IB_SA_MCM_PROXY_JOIN_F', + 'value' => '178' + }, + '183' => { + 'name' => 'IB_SA_SR_ID_F', + 'value' => '179' + }, + '184' => { + 'name' => 'IB_SA_SR_GID_F', + 'value' => '180' + }, + '185' => { + 'name' => 'IB_SA_SR_PKEY_F', + 'value' => '181' + }, + '186' => { + 'name' => 'IB_SA_SR_LEASE_F', + 'value' => '182' + }, + '187' => { + 'name' => 'IB_SA_SR_KEY_F', + 'value' => '183' + }, + '188' => { + 'name' => 'IB_SA_SR_NAME_F', + 'value' => '184' + }, + '189' => { + 'name' => 'IB_SA_SR_DATA_F', + 'value' => '185' + }, + '19' => { + 'name' => 'IB_SA_MKEY_F', + 'value' => '19' + }, + '190' => { + 'name' => 'IB_ATS_SM_NODE_ADDR_F', + 'value' => '186' + }, + '191' => { + 'name' => 'IB_ATS_SM_MAGIC_KEY_F', + 'value' => '187' + }, + '192' => { + 'name' => 'IB_ATS_SM_NODE_TYPE_F', + 'value' => '188' + }, + '193' => { + 'name' => 'IB_ATS_SM_NODE_NAME_F', + 'value' => '189' + }, + '194' => { + 'name' => 'IB_SLTOVL_MAPPING_TABLE_F', + 'value' => '190' + }, + '195' => { + 'name' => 'IB_VL_ARBITRATION_TABLE_F', + 'value' => '191' + }, + '196' => { + 'name' => 'IB_VEND2_OUI_F', + 'value' => '192' + }, + '197' => { + 'name' => 'IB_VEND2_DATA_F', + 'value' => '193' + }, + '198' => { + 'name' => 'IB_PC_EXT_FIRST_F', + 'value' => '194' + }, + '199' => { + 'name' => 'IB_PC_EXT_PORT_SELECT_F', + 'value' => '194' + }, + '2' => { + 'name' => 'IB_GID_GUID_F', + 'value' => '2' + }, + '20' => { + 'name' => 'IB_SA_ATTROFFS_F', + 'value' => '20' + }, + '200' => { + 'name' => 'IB_PC_EXT_COUNTER_SELECT_F', + 'value' => '195' + }, + '201' => { + 'name' => 'IB_PC_EXT_XMT_BYTES_F', + 'value' => '196' + }, + '202' => { + 'name' => 'IB_PC_EXT_RCV_BYTES_F', + 'value' => '197' + }, + '203' => { + 'name' => 'IB_PC_EXT_XMT_PKTS_F', + 'value' => '198' + }, + '204' => { + 'name' => 'IB_PC_EXT_RCV_PKTS_F', + 'value' => '199' + }, + '205' => { + 'name' => 'IB_PC_EXT_XMT_UPKTS_F', + 'value' => '200' + }, + '206' => { + 'name' => 'IB_PC_EXT_RCV_UPKTS_F', + 'value' => '201' + }, + '207' => { + 'name' => 'IB_PC_EXT_XMT_MPKTS_F', + 'value' => '202' + }, + '208' => { + 'name' => 'IB_PC_EXT_RCV_MPKTS_F', + 'value' => '203' + }, + '209' => { + 'name' => 'IB_PC_EXT_LAST_F', + 'value' => '204' + }, + '21' => { + 'name' => 'IB_SA_COMPMASK_F', + 'value' => '21' + }, + '210' => { + 'name' => 'IB_GUID_GUID0_F', + 'value' => '205' + }, + '211' => { + 'name' => 'IB_CPI_BASEVER_F', + 'value' => '206' + }, + '212' => { + 'name' => 'IB_CPI_CLASSVER_F', + 'value' => '207' + }, + '213' => { + 'name' => 'IB_CPI_CAPMASK_F', + 'value' => '208' + }, + '214' => { + 'name' => 'IB_CPI_CAPMASK2_F', + 'value' => '209' + }, + '215' => { + 'name' => 'IB_CPI_RESP_TIME_VALUE_F', + 'value' => '210' + }, + '216' => { + 'name' => 'IB_CPI_REDIRECT_GID_F', + 'value' => '211' + }, + '217' => { + 'name' => 'IB_CPI_REDIRECT_TC_F', + 'value' => '212' + }, + '218' => { + 'name' => 'IB_CPI_REDIRECT_SL_F', + 'value' => '213' + }, + '219' => { + 'name' => 'IB_CPI_REDIRECT_FL_F', + 'value' => '214' + }, + '22' => { + 'name' => 'IB_SA_DATA_F', + 'value' => '22' + }, + '220' => { + 'name' => 'IB_CPI_REDIRECT_LID_F', + 'value' => '215' + }, + '221' => { + 'name' => 'IB_CPI_REDIRECT_PKEY_F', + 'value' => '216' + }, + '222' => { + 'name' => 'IB_CPI_REDIRECT_QP_F', + 'value' => '217' + }, + '223' => { + 'name' => 'IB_CPI_REDIRECT_QKEY_F', + 'value' => '218' + }, + '224' => { + 'name' => 'IB_CPI_TRAP_GID_F', + 'value' => '219' + }, + '225' => { + 'name' => 'IB_CPI_TRAP_TC_F', + 'value' => '220' + }, + '226' => { + 'name' => 'IB_CPI_TRAP_SL_F', + 'value' => '221' + }, + '227' => { + 'name' => 'IB_CPI_TRAP_FL_F', + 'value' => '222' + }, + '228' => { + 'name' => 'IB_CPI_TRAP_LID_F', + 'value' => '223' + }, + '229' => { + 'name' => 'IB_CPI_TRAP_PKEY_F', + 'value' => '224' + }, + '23' => { + 'name' => 'IB_SM_DATA_F', + 'value' => '23' + }, + '230' => { + 'name' => 'IB_CPI_TRAP_HL_F', + 'value' => '225' + }, + '231' => { + 'name' => 'IB_CPI_TRAP_QP_F', + 'value' => '226' + }, + '232' => { + 'name' => 'IB_CPI_TRAP_QKEY_F', + 'value' => '227' + }, + '233' => { + 'name' => 'IB_PC_XMT_DATA_SL_FIRST_F', + 'value' => '228' + }, + '234' => { + 'name' => 'IB_PC_XMT_DATA_SL0_F', + 'value' => '228' + }, + '235' => { + 'name' => 'IB_PC_XMT_DATA_SL1_F', + 'value' => '229' + }, + '236' => { + 'name' => 'IB_PC_XMT_DATA_SL2_F', + 'value' => '230' + }, + '237' => { + 'name' => 'IB_PC_XMT_DATA_SL3_F', + 'value' => '231' + }, + '238' => { + 'name' => 'IB_PC_XMT_DATA_SL4_F', + 'value' => '232' + }, + '239' => { + 'name' => 'IB_PC_XMT_DATA_SL5_F', + 'value' => '233' + }, + '24' => { + 'name' => 'IB_GS_DATA_F', + 'value' => '24' + }, + '240' => { + 'name' => 'IB_PC_XMT_DATA_SL6_F', + 'value' => '234' + }, + '241' => { + 'name' => 'IB_PC_XMT_DATA_SL7_F', + 'value' => '235' + }, + '242' => { + 'name' => 'IB_PC_XMT_DATA_SL8_F', + 'value' => '236' + }, + '243' => { + 'name' => 'IB_PC_XMT_DATA_SL9_F', + 'value' => '237' + }, + '244' => { + 'name' => 'IB_PC_XMT_DATA_SL10_F', + 'value' => '238' + }, + '245' => { + 'name' => 'IB_PC_XMT_DATA_SL11_F', + 'value' => '239' + }, + '246' => { + 'name' => 'IB_PC_XMT_DATA_SL12_F', + 'value' => '240' + }, + '247' => { + 'name' => 'IB_PC_XMT_DATA_SL13_F', + 'value' => '241' + }, + '248' => { + 'name' => 'IB_PC_XMT_DATA_SL14_F', + 'value' => '242' + }, + '249' => { + 'name' => 'IB_PC_XMT_DATA_SL15_F', + 'value' => '243' + }, + '25' => { + 'name' => 'IB_DRSMP_PATH_F', + 'value' => '25' + }, + '250' => { + 'name' => 'IB_PC_XMT_DATA_SL_LAST_F', + 'value' => '244' + }, + '251' => { + 'name' => 'IB_PC_RCV_DATA_SL_FIRST_F', + 'value' => '245' + }, + '252' => { + 'name' => 'IB_PC_RCV_DATA_SL0_F', + 'value' => '245' + }, + '253' => { + 'name' => 'IB_PC_RCV_DATA_SL1_F', + 'value' => '246' + }, + '254' => { + 'name' => 'IB_PC_RCV_DATA_SL2_F', + 'value' => '247' + }, + '255' => { + 'name' => 'IB_PC_RCV_DATA_SL3_F', + 'value' => '248' + }, + '256' => { + 'name' => 'IB_PC_RCV_DATA_SL4_F', + 'value' => '249' + }, + '257' => { + 'name' => 'IB_PC_RCV_DATA_SL5_F', + 'value' => '250' + }, + '258' => { + 'name' => 'IB_PC_RCV_DATA_SL6_F', + 'value' => '251' + }, + '259' => { + 'name' => 'IB_PC_RCV_DATA_SL7_F', + 'value' => '252' + }, + '26' => { + 'name' => 'IB_DRSMP_RPATH_F', + 'value' => '26' + }, + '260' => { + 'name' => 'IB_PC_RCV_DATA_SL8_F', + 'value' => '253' + }, + '261' => { + 'name' => 'IB_PC_RCV_DATA_SL9_F', + 'value' => '254' + }, + '262' => { + 'name' => 'IB_PC_RCV_DATA_SL10_F', + 'value' => '255' + }, + '263' => { + 'name' => 'IB_PC_RCV_DATA_SL11_F', + 'value' => '256' + }, + '264' => { + 'name' => 'IB_PC_RCV_DATA_SL12_F', + 'value' => '257' + }, + '265' => { + 'name' => 'IB_PC_RCV_DATA_SL13_F', + 'value' => '258' + }, + '266' => { + 'name' => 'IB_PC_RCV_DATA_SL14_F', + 'value' => '259' + }, + '267' => { + 'name' => 'IB_PC_RCV_DATA_SL15_F', + 'value' => '260' + }, + '268' => { + 'name' => 'IB_PC_RCV_DATA_SL_LAST_F', + 'value' => '261' + }, + '269' => { + 'name' => 'IB_PC_XMT_INACT_DISC_F', + 'value' => '262' + }, + '27' => { + 'name' => 'IB_PORT_FIRST_F', + 'value' => '27' + }, + '270' => { + 'name' => 'IB_PC_XMT_NEIGH_MTU_DISC_F', + 'value' => '263' + }, + '271' => { + 'name' => 'IB_PC_XMT_SW_LIFE_DISC_F', + 'value' => '264' + }, + '272' => { + 'name' => 'IB_PC_XMT_SW_HOL_DISC_F', + 'value' => '265' + }, + '273' => { + 'name' => 'IB_PC_XMT_DISC_LAST_F', + 'value' => '266' + }, + '274' => { + 'name' => 'IB_PC_RCV_LOCAL_PHY_ERR_F', + 'value' => '267' + }, + '275' => { + 'name' => 'IB_PC_RCV_MALFORMED_PKT_ERR_F', + 'value' => '268' + }, + '276' => { + 'name' => 'IB_PC_RCV_BUF_OVR_ERR_F', + 'value' => '269' + }, + '277' => { + 'name' => 'IB_PC_RCV_DLID_MAP_ERR_F', + 'value' => '270' + }, + '278' => { + 'name' => 'IB_PC_RCV_VL_MAP_ERR_F', + 'value' => '271' + }, + '279' => { + 'name' => 'IB_PC_RCV_LOOPING_ERR_F', + 'value' => '272' + }, + '28' => { + 'name' => 'IB_PORT_MKEY_F', + 'value' => '27' + }, + '280' => { + 'name' => 'IB_PC_RCV_ERR_LAST_F', + 'value' => '273' + }, + '281' => { + 'name' => 'IB_PSC_OPCODE_F', + 'value' => '274' + }, + '282' => { + 'name' => 'IB_PSC_PORT_SELECT_F', + 'value' => '275' + }, + '283' => { + 'name' => 'IB_PSC_TICK_F', + 'value' => '276' + }, + '284' => { + 'name' => 'IB_PSC_COUNTER_WIDTH_F', + 'value' => '277' + }, + '285' => { + 'name' => 'IB_PSC_COUNTER_MASK0_F', + 'value' => '278' + }, + '286' => { + 'name' => 'IB_PSC_COUNTER_MASKS1TO9_F', + 'value' => '279' + }, + '287' => { + 'name' => 'IB_PSC_COUNTER_MASKS10TO14_F', + 'value' => '280' + }, + '288' => { + 'name' => 'IB_PSC_SAMPLE_MECHS_F', + 'value' => '281' + }, + '289' => { + 'name' => 'IB_PSC_SAMPLE_STATUS_F', + 'value' => '282' + }, + '29' => { + 'name' => 'IB_PORT_GID_PREFIX_F', + 'value' => '28' + }, + '290' => { + 'name' => 'IB_PSC_OPTION_MASK_F', + 'value' => '283' + }, + '291' => { + 'name' => 'IB_PSC_VENDOR_MASK_F', + 'value' => '284' + }, + '292' => { + 'name' => 'IB_PSC_SAMPLE_START_F', + 'value' => '285' + }, + '293' => { + 'name' => 'IB_PSC_SAMPLE_INTVL_F', + 'value' => '286' + }, + '294' => { + 'name' => 'IB_PSC_TAG_F', + 'value' => '287' + }, + '295' => { + 'name' => 'IB_PSC_COUNTER_SEL0_F', + 'value' => '288' + }, + '296' => { + 'name' => 'IB_PSC_COUNTER_SEL1_F', + 'value' => '289' + }, + '297' => { + 'name' => 'IB_PSC_COUNTER_SEL2_F', + 'value' => '290' + }, + '298' => { + 'name' => 'IB_PSC_COUNTER_SEL3_F', + 'value' => '291' + }, + '299' => { + 'name' => 'IB_PSC_COUNTER_SEL4_F', + 'value' => '292' + }, + '3' => { + 'name' => 'IB_MAD_METHOD_F', + 'value' => '3' + }, + '30' => { + 'name' => 'IB_PORT_LID_F', + 'value' => '29' + }, + '300' => { + 'name' => 'IB_PSC_COUNTER_SEL5_F', + 'value' => '293' + }, + '301' => { + 'name' => 'IB_PSC_COUNTER_SEL6_F', + 'value' => '294' + }, + '302' => { + 'name' => 'IB_PSC_COUNTER_SEL7_F', + 'value' => '295' + }, + '303' => { + 'name' => 'IB_PSC_COUNTER_SEL8_F', + 'value' => '296' + }, + '304' => { + 'name' => 'IB_PSC_COUNTER_SEL9_F', + 'value' => '297' + }, + '305' => { + 'name' => 'IB_PSC_COUNTER_SEL10_F', + 'value' => '298' + }, + '306' => { + 'name' => 'IB_PSC_COUNTER_SEL11_F', + 'value' => '299' + }, + '307' => { + 'name' => 'IB_PSC_COUNTER_SEL12_F', + 'value' => '300' + }, + '308' => { + 'name' => 'IB_PSC_COUNTER_SEL13_F', + 'value' => '301' + }, + '309' => { + 'name' => 'IB_PSC_COUNTER_SEL14_F', + 'value' => '302' + }, + '31' => { + 'name' => 'IB_PORT_SMLID_F', + 'value' => '30' + }, + '310' => { + 'name' => 'IB_PSC_SAMPLES_ONLY_OPT_MASK_F', + 'value' => '303' + }, + '311' => { + 'name' => 'IB_PSC_LAST_F', + 'value' => '304' + }, + '312' => { + 'name' => 'IB_GI_GUID0_F', + 'value' => '305' + }, + '313' => { + 'name' => 'IB_GI_GUID1_F', + 'value' => '306' + }, + '314' => { + 'name' => 'IB_GI_GUID2_F', + 'value' => '307' + }, + '315' => { + 'name' => 'IB_GI_GUID3_F', + 'value' => '308' + }, + '316' => { + 'name' => 'IB_GI_GUID4_F', + 'value' => '309' + }, + '317' => { + 'name' => 'IB_GI_GUID5_F', + 'value' => '310' + }, + '318' => { + 'name' => 'IB_GI_GUID6_F', + 'value' => '311' + }, + '319' => { + 'name' => 'IB_GI_GUID7_F', + 'value' => '312' + }, + '32' => { + 'name' => 'IB_PORT_CAPMASK_F', + 'value' => '31' + }, + '320' => { + 'name' => 'IB_SA_GIR_LID_F', + 'value' => '313' + }, + '321' => { + 'name' => 'IB_SA_GIR_BLOCKNUM_F', + 'value' => '314' + }, + '322' => { + 'name' => 'IB_SA_GIR_GUID0_F', + 'value' => '315' + }, + '323' => { + 'name' => 'IB_SA_GIR_GUID1_F', + 'value' => '316' + }, + '324' => { + 'name' => 'IB_SA_GIR_GUID2_F', + 'value' => '317' + }, + '325' => { + 'name' => 'IB_SA_GIR_GUID3_F', + 'value' => '318' + }, + '326' => { + 'name' => 'IB_SA_GIR_GUID4_F', + 'value' => '319' + }, + '327' => { + 'name' => 'IB_SA_GIR_GUID5_F', + 'value' => '320' + }, + '328' => { + 'name' => 'IB_SA_GIR_GUID6_F', + 'value' => '321' + }, + '329' => { + 'name' => 'IB_SA_GIR_GUID7_F', + 'value' => '322' + }, + '33' => { + 'name' => 'IB_PORT_DIAG_F', + 'value' => '32' + }, + '330' => { + 'name' => 'IB_PORT_CAPMASK2_F', + 'value' => '323' + }, + '331' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ACTIVE_F', + 'value' => '324' + }, + '332' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_SUPPORTED_F', + 'value' => '325' + }, + '333' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ENABLED_F', + 'value' => '326' + }, + '334' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_LAST_F', + 'value' => '327' + }, + '335' => { + 'name' => 'IB_PESC_PORT_SELECT_F', + 'value' => '328' + }, + '336' => { + 'name' => 'IB_PESC_COUNTER_SELECT_F', + 'value' => '329' + }, + '337' => { + 'name' => 'IB_PESC_SYNC_HDR_ERR_CTR_F', + 'value' => '330' + }, + '338' => { + 'name' => 'IB_PESC_UNK_BLOCK_CTR_F', + 'value' => '331' + }, + '339' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE0_F', + 'value' => '332' + }, + '34' => { + 'name' => 'IB_PORT_MKEY_LEASE_F', + 'value' => '33' + }, + '340' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE1_F', + 'value' => '333' + }, + '341' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE2_F', + 'value' => '334' + }, + '342' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE3_F', + 'value' => '335' + }, + '343' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE4_F', + 'value' => '336' + }, + '344' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE5_F', + 'value' => '337' + }, + '345' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE6_F', + 'value' => '338' + }, + '346' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE7_F', + 'value' => '339' + }, + '347' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE8_F', + 'value' => '340' + }, + '348' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE9_F', + 'value' => '341' + }, + '349' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE10_F', + 'value' => '342' + }, + '35' => { + 'name' => 'IB_PORT_LOCAL_PORT_F', + 'value' => '34' + }, + '350' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE11_F', + 'value' => '343' + }, + '351' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE0_F', + 'value' => '344' + }, + '352' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE1_F', + 'value' => '345' + }, + '353' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE2_F', + 'value' => '346' + }, + '354' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE3_F', + 'value' => '347' + }, + '355' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE4_F', + 'value' => '348' + }, + '356' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE5_F', + 'value' => '349' + }, + '357' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE6_F', + 'value' => '350' + }, + '358' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE7_F', + 'value' => '351' + }, + '359' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE8_F', + 'value' => '352' + }, + '36' => { + 'name' => 'IB_PORT_LINK_WIDTH_ENABLED_F', + 'value' => '35' + }, + '360' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE9_F', + 'value' => '353' + }, + '361' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE10_F', + 'value' => '354' + }, + '362' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE11_F', + 'value' => '355' + }, + '363' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE0_F', + 'value' => '356' + }, + '364' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE1_F', + 'value' => '357' + }, + '365' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE2_F', + 'value' => '358' + }, + '366' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE3_F', + 'value' => '359' + }, + '367' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE4_F', + 'value' => '360' + }, + '368' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE5_F', + 'value' => '361' + }, + '369' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE6_F', + 'value' => '362' + }, + '37' => { + 'name' => 'IB_PORT_LINK_WIDTH_SUPPORTED_F', + 'value' => '36' + }, + '370' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE7_F', + 'value' => '363' + }, + '371' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE8_F', + 'value' => '364' + }, + '372' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE9_F', + 'value' => '365' + }, + '373' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE10_F', + 'value' => '366' + }, + '374' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE11_F', + 'value' => '367' + }, + '375' => { + 'name' => 'IB_PESC_LAST_F', + 'value' => '368' + }, + '376' => { + 'name' => 'IB_PC_PORT_OP_RCV_COUNTERS_FIRST_F', + 'value' => '369' + }, + '377' => { + 'name' => 'IB_PC_PORT_OP_RCV_PKTS_F', + 'value' => '369' + }, + '378' => { + 'name' => 'IB_PC_PORT_OP_RCV_DATA_F', + 'value' => '370' + }, + '379' => { + 'name' => 'IB_PC_PORT_OP_RCV_COUNTERS_LAST_F', + 'value' => '371' + }, + '38' => { + 'name' => 'IB_PORT_LINK_WIDTH_ACTIVE_F', + 'value' => '37' + }, + '380' => { + 'name' => 'IB_PC_PORT_FLOW_CTL_COUNTERS_FIRST_F', + 'value' => '372' + }, + '381' => { + 'name' => 'IB_PC_PORT_XMIT_FLOW_PKTS_F', + 'value' => '372' + }, + '382' => { + 'name' => 'IB_PC_PORT_RCV_FLOW_PKTS_F', + 'value' => '373' + }, + '383' => { + 'name' => 'IB_PC_PORT_FLOW_CTL_COUNTERS_LAST_F', + 'value' => '374' + }, + '384' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS_FIRST_F', + 'value' => '375' + }, + '385' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS0_F', + 'value' => '375' + }, + '386' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS1_F', + 'value' => '376' + }, + '387' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS2_F', + 'value' => '377' + }, + '388' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS3_F', + 'value' => '378' + }, + '389' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS4_F', + 'value' => '379' + }, + '39' => { + 'name' => 'IB_PORT_LINK_SPEED_SUPPORTED_F', + 'value' => '38' + }, + '390' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS5_F', + 'value' => '380' + }, + '391' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS6_F', + 'value' => '381' + }, + '392' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS7_F', + 'value' => '382' + }, + '393' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS8_F', + 'value' => '383' + }, + '394' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS9_F', + 'value' => '384' + }, + '395' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS10_F', + 'value' => '385' + }, + '396' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS11_F', + 'value' => '386' + }, + '397' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS12_F', + 'value' => '387' + }, + '398' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS13_F', + 'value' => '388' + }, + '399' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS14_F', + 'value' => '389' + }, + '4' => { + 'name' => 'IB_MAD_RESPONSE_F', + 'value' => '4' + }, + '40' => { + 'name' => 'IB_PORT_STATE_F', + 'value' => '39' + }, + '400' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS15_F', + 'value' => '390' + }, + '401' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS_LAST_F', + 'value' => '391' + }, + '402' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA_FIRST_F', + 'value' => '392' + }, + '403' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA0_F', + 'value' => '392' + }, + '404' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA1_F', + 'value' => '393' + }, + '405' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA2_F', + 'value' => '394' + }, + '406' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA3_F', + 'value' => '395' + }, + '407' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA4_F', + 'value' => '396' + }, + '408' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA5_F', + 'value' => '397' + }, + '409' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA6_F', + 'value' => '398' + }, + '41' => { + 'name' => 'IB_PORT_PHYS_STATE_F', + 'value' => '40' + }, + '410' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA7_F', + 'value' => '399' + }, + '411' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA8_F', + 'value' => '400' + }, + '412' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA9_F', + 'value' => '401' + }, + '413' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA10_F', + 'value' => '402' + }, + '414' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA11_F', + 'value' => '403' + }, + '415' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA12_F', + 'value' => '404' + }, + '416' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA13_F', + 'value' => '405' + }, + '417' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA14_F', + 'value' => '406' + }, + '418' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA15_F', + 'value' => '407' + }, + '419' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA_LAST_F', + 'value' => '408' + }, + '42' => { + 'name' => 'IB_PORT_LINK_DOWN_DEF_F', + 'value' => '41' + }, + '420' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS_FIRST_F', + 'value' => '409' + }, + '421' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS0_F', + 'value' => '409' + }, + '422' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS1_F', + 'value' => '410' + }, + '423' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS2_F', + 'value' => '411' + }, + '424' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS3_F', + 'value' => '412' + }, + '425' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS4_F', + 'value' => '413' + }, + '426' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS5_F', + 'value' => '414' + }, + '427' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS6_F', + 'value' => '415' + }, + '428' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS7_F', + 'value' => '416' + }, + '429' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS8_F', + 'value' => '417' + }, + '43' => { + 'name' => 'IB_PORT_MKEY_PROT_BITS_F', + 'value' => '42' + }, + '430' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS9_F', + 'value' => '418' + }, + '431' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS10_F', + 'value' => '419' + }, + '432' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS11_F', + 'value' => '420' + }, + '433' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS12_F', + 'value' => '421' + }, + '434' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS13_F', + 'value' => '422' + }, + '435' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS14_F', + 'value' => '423' + }, + '436' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS15_F', + 'value' => '424' + }, + '437' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS_LAST_F', + 'value' => '425' + }, + '438' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT_COUNTERS_FIRST_F', + 'value' => '426' + }, + '439' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT0_F', + 'value' => '426' + }, + '44' => { + 'name' => 'IB_PORT_LMC_F', + 'value' => '43' + }, + '440' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT1_F', + 'value' => '427' + }, + '441' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT2_F', + 'value' => '428' + }, + '442' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT3_F', + 'value' => '429' + }, + '443' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT4_F', + 'value' => '430' + }, + '444' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT5_F', + 'value' => '431' + }, + '445' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT6_F', + 'value' => '432' + }, + '446' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT7_F', + 'value' => '433' + }, + '447' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT8_F', + 'value' => '434' + }, + '448' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT9_F', + 'value' => '435' + }, + '449' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT10_F', + 'value' => '436' + }, + '45' => { + 'name' => 'IB_PORT_LINK_SPEED_ACTIVE_F', + 'value' => '44' + }, + '450' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT11_F', + 'value' => '437' + }, + '451' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT12_F', + 'value' => '438' + }, + '452' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT13_F', + 'value' => '439' + }, + '453' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT14_F', + 'value' => '440' + }, + '454' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT15_F', + 'value' => '441' + }, + '455' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT_COUNTERS_LAST_F', + 'value' => '442' + }, + '456' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION_FIRST_F', + 'value' => '443' + }, + '457' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION0_F', + 'value' => '443' + }, + '458' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION1_F', + 'value' => '444' + }, + '459' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION2_F', + 'value' => '445' + }, + '46' => { + 'name' => 'IB_PORT_LINK_SPEED_ENABLED_F', + 'value' => '45' + }, + '460' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION3_F', + 'value' => '446' + }, + '461' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION4_F', + 'value' => '447' + }, + '462' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION5_F', + 'value' => '448' + }, + '463' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION6_F', + 'value' => '449' + }, + '464' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION7_F', + 'value' => '450' + }, + '465' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION8_F', + 'value' => '451' + }, + '466' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION9_F', + 'value' => '452' + }, + '467' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION10_F', + 'value' => '453' + }, + '468' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION11_F', + 'value' => '454' + }, + '469' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION12_F', + 'value' => '455' + }, + '47' => { + 'name' => 'IB_PORT_NEIGHBOR_MTU_F', + 'value' => '46' + }, + '470' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION13_F', + 'value' => '456' + }, + '471' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION14_F', + 'value' => '457' + }, + '472' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION15_F', + 'value' => '458' + }, + '473' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION_LAST_F', + 'value' => '459' + }, + '474' => { + 'name' => 'IB_PC_RCV_CON_CTRL_FIRST_F', + 'value' => '460' + }, + '475' => { + 'name' => 'IB_PC_RCV_CON_CTRL_PKT_RCV_FECN_F', + 'value' => '460' + }, + '476' => { + 'name' => 'IB_PC_RCV_CON_CTRL_PKT_RCV_BECN_F', + 'value' => '461' + }, + '477' => { + 'name' => 'IB_PC_RCV_CON_CTRL_LAST_F', + 'value' => '462' + }, + '478' => { + 'name' => 'IB_PC_SL_RCV_FECN_FIRST_F', + 'value' => '463' + }, + '479' => { + 'name' => 'IB_PC_SL_RCV_FECN0_F', + 'value' => '463' + }, + '48' => { + 'name' => 'IB_PORT_SMSL_F', + 'value' => '47' + }, + '480' => { + 'name' => 'IB_PC_SL_RCV_FECN1_F', + 'value' => '464' + }, + '481' => { + 'name' => 'IB_PC_SL_RCV_FECN2_F', + 'value' => '465' + }, + '482' => { + 'name' => 'IB_PC_SL_RCV_FECN3_F', + 'value' => '466' + }, + '483' => { + 'name' => 'IB_PC_SL_RCV_FECN4_F', + 'value' => '467' + }, + '484' => { + 'name' => 'IB_PC_SL_RCV_FECN5_F', + 'value' => '468' + }, + '485' => { + 'name' => 'IB_PC_SL_RCV_FECN6_F', + 'value' => '469' + }, + '486' => { + 'name' => 'IB_PC_SL_RCV_FECN7_F', + 'value' => '470' + }, + '487' => { + 'name' => 'IB_PC_SL_RCV_FECN8_F', + 'value' => '471' + }, + '488' => { + 'name' => 'IB_PC_SL_RCV_FECN9_F', + 'value' => '472' + }, + '489' => { + 'name' => 'IB_PC_SL_RCV_FECN10_F', + 'value' => '473' + }, + '49' => { + 'name' => 'IB_PORT_VL_CAP_F', + 'value' => '48' + }, + '490' => { + 'name' => 'IB_PC_SL_RCV_FECN11_F', + 'value' => '474' + }, + '491' => { + 'name' => 'IB_PC_SL_RCV_FECN12_F', + 'value' => '475' + }, + '492' => { + 'name' => 'IB_PC_SL_RCV_FECN13_F', + 'value' => '476' + }, + '493' => { + 'name' => 'IB_PC_SL_RCV_FECN14_F', + 'value' => '477' + }, + '494' => { + 'name' => 'IB_PC_SL_RCV_FECN15_F', + 'value' => '478' + }, + '495' => { + 'name' => 'IB_PC_SL_RCV_FECN_LAST_F', + 'value' => '479' + }, + '496' => { + 'name' => 'IB_PC_SL_RCV_BECN_FIRST_F', + 'value' => '480' + }, + '497' => { + 'name' => 'IB_PC_SL_RCV_BECN0_F', + 'value' => '480' + }, + '498' => { + 'name' => 'IB_PC_SL_RCV_BECN1_F', + 'value' => '481' + }, + '499' => { + 'name' => 'IB_PC_SL_RCV_BECN2_F', + 'value' => '482' + }, + '5' => { + 'name' => 'IB_MAD_CLASSVER_F', + 'value' => '5' + }, + '50' => { + 'name' => 'IB_PORT_INIT_TYPE_F', + 'value' => '49' + }, + '500' => { + 'name' => 'IB_PC_SL_RCV_BECN3_F', + 'value' => '483' + }, + '501' => { + 'name' => 'IB_PC_SL_RCV_BECN4_F', + 'value' => '484' + }, + '502' => { + 'name' => 'IB_PC_SL_RCV_BECN5_F', + 'value' => '485' + }, + '503' => { + 'name' => 'IB_PC_SL_RCV_BECN6_F', + 'value' => '486' + }, + '504' => { + 'name' => 'IB_PC_SL_RCV_BECN7_F', + 'value' => '487' + }, + '505' => { + 'name' => 'IB_PC_SL_RCV_BECN8_F', + 'value' => '488' + }, + '506' => { + 'name' => 'IB_PC_SL_RCV_BECN9_F', + 'value' => '489' + }, + '507' => { + 'name' => 'IB_PC_SL_RCV_BECN10_F', + 'value' => '490' + }, + '508' => { + 'name' => 'IB_PC_SL_RCV_BECN11_F', + 'value' => '491' + }, + '509' => { + 'name' => 'IB_PC_SL_RCV_BECN12_F', + 'value' => '492' + }, + '51' => { + 'name' => 'IB_PORT_VL_HIGH_LIMIT_F', + 'value' => '50' + }, + '510' => { + 'name' => 'IB_PC_SL_RCV_BECN13_F', + 'value' => '493' + }, + '511' => { + 'name' => 'IB_PC_SL_RCV_BECN14_F', + 'value' => '494' + }, + '512' => { + 'name' => 'IB_PC_SL_RCV_BECN15_F', + 'value' => '495' + }, + '513' => { + 'name' => 'IB_PC_SL_RCV_BECN_LAST_F', + 'value' => '496' + }, + '514' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_FIRST_F', + 'value' => '497' + }, + '515' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_TIME_CONG_F', + 'value' => '497' + }, + '516' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_LAST_F', + 'value' => '498' + }, + '517' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG_FIRST_F', + 'value' => '499' + }, + '518' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG0_F', + 'value' => '499' + }, + '519' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG1_F', + 'value' => '500' + }, + '52' => { + 'name' => 'IB_PORT_VL_ARBITRATION_HIGH_CAP_F', + 'value' => '51' + }, + '520' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG2_F', + 'value' => '501' + }, + '521' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG3_F', + 'value' => '502' + }, + '522' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG4_F', + 'value' => '503' + }, + '523' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG5_F', + 'value' => '504' + }, + '524' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG6_F', + 'value' => '505' + }, + '525' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG7_F', + 'value' => '506' + }, + '526' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG8_F', + 'value' => '507' + }, + '527' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG9_F', + 'value' => '508' + }, + '528' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG10_F', + 'value' => '509' + }, + '529' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG11_F', + 'value' => '510' + }, + '53' => { + 'name' => 'IB_PORT_VL_ARBITRATION_LOW_CAP_F', + 'value' => '52' + }, + '530' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG12_F', + 'value' => '511' + }, + '531' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG13_F', + 'value' => '512' + }, + '532' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG14_F', + 'value' => '513' + }, + '533' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG_LAST_F', + 'value' => '514' + }, + '534' => { + 'name' => 'IB_MLNX_EXT_PORT_STATE_CHG_ENABLE_F', + 'value' => '515' + }, + '535' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_SUPPORTED_F', + 'value' => '516' + }, + '536' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_ENABLED_F', + 'value' => '517' + }, + '537' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_ACTIVE_F', + 'value' => '518' + }, + '538' => { + 'name' => 'IB_MLNX_EXT_PORT_LAST_F', + 'value' => '519' + }, + '539' => { + 'name' => 'IB_CC_CCKEY_F', + 'value' => '520' + }, + '54' => { + 'name' => 'IB_PORT_INIT_TYPE_REPLY_F', + 'value' => '53' + }, + '540' => { + 'name' => 'IB_CC_CONGESTION_INFO_FIRST_F', + 'value' => '521' + }, + '541' => { + 'name' => 'IB_CC_CONGESTION_INFO_F', + 'value' => '521' + }, + '542' => { + 'name' => 'IB_CC_CONGESTION_INFO_CONTROL_TABLE_CAP_F', + 'value' => '522' + }, + '543' => { + 'name' => 'IB_CC_CONGESTION_INFO_LAST_F', + 'value' => '523' + }, + '544' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_FIRST_F', + 'value' => '524' + }, + '545' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_F', + 'value' => '524' + }, + '546' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_PROTECT_BIT_F', + 'value' => '525' + }, + '547' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_LEASE_PERIOD_F', + 'value' => '526' + }, + '548' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_VIOLATIONS_F', + 'value' => '527' + }, + '549' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_LAST_F', + 'value' => '528' + }, + '55' => { + 'name' => 'IB_PORT_MTU_CAP_F', + 'value' => '54' + }, + '550' => { + 'name' => 'IB_CC_CONGESTION_LOG_FIRST_F', + 'value' => '529' + }, + '551' => { + 'name' => 'IB_CC_CONGESTION_LOG_LOGTYPE_F', + 'value' => '529' + }, + '552' => { + 'name' => 'IB_CC_CONGESTION_LOG_CONGESTION_FLAGS_F', + 'value' => '530' + }, + '553' => { + 'name' => 'IB_CC_CONGESTION_LOG_LAST_F', + 'value' => '531' + }, + '554' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_FIRST_F', + 'value' => '532' + }, + '555' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_LOG_EVENTS_COUNTER_F', + 'value' => '532' + }, + '556' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_CURRENT_TIME_STAMP_F', + 'value' => '533' + }, + '557' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_PORTMAP_F', + 'value' => '534' + }, + '558' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_LAST_F', + 'value' => '535' + }, + '559' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_FIRST_F', + 'value' => '536' + }, + '56' => { + 'name' => 'IB_PORT_VL_STALL_COUNT_F', + 'value' => '55' + }, + '560' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_SLID_F', + 'value' => '536' + }, + '561' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_DLID_F', + 'value' => '537' + }, + '562' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_SL_F', + 'value' => '538' + }, + '563' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_TIMESTAMP_F', + 'value' => '539' + }, + '564' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_LAST_F', + 'value' => '540' + }, + '565' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_FIRST_F', + 'value' => '541' + }, + '566' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_THRESHOLD_EVENT_COUNTER_F', + 'value' => '541' + }, + '567' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_THRESHOLD_CONGESTION_EVENT_MAP_F', + 'value' => '542' + }, + '568' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_CURRENT_TIMESTAMP_F', + 'value' => '543' + }, + '569' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_LAST_F', + 'value' => '544' + }, + '57' => { + 'name' => 'IB_PORT_HOQ_LIFE_F', + 'value' => '56' + }, + '570' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_FIRST_F', + 'value' => '545' + }, + '571' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LOCAL_QP_CN_ENTRY_F', + 'value' => '545' + }, + '572' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_SL_CN_ENTRY_F', + 'value' => '546' + }, + '573' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_SERVICE_TYPE_CN_ENTRY_F', + 'value' => '547' + }, + '574' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_REMOTE_QP_NUMBER_CN_ENTRY_F', + 'value' => '548' + }, + '575' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LOCAL_LID_CN_F', + 'value' => '549' + }, + '576' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_REMOTE_LID_CN_ENTRY_F', + 'value' => '550' + }, + '577' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_TIMESTAMP_CN_ENTRY_F', + 'value' => '551' + }, + '578' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LAST_F', + 'value' => '552' + }, + '579' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_FIRST_F', + 'value' => '553' + }, + '58' => { + 'name' => 'IB_PORT_OPER_VLS_F', + 'value' => '57' + }, + '580' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CONTROL_MAP_F', + 'value' => '553' + }, + '581' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_VICTIM_MASK_F', + 'value' => '554' + }, + '582' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CREDIT_MASK_F', + 'value' => '555' + }, + '583' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_THRESHOLD_F', + 'value' => '556' + }, + '584' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_PACKET_SIZE_F', + 'value' => '557' + }, + '585' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CS_THRESHOLD_F', + 'value' => '558' + }, + '586' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CS_RETURN_DELAY_F', + 'value' => '559' + }, + '587' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_MARKING_RATE_F', + 'value' => '560' + }, + '588' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_LAST_F', + 'value' => '561' + }, + '589' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_FIRST_F', + 'value' => '562' + }, + '59' => { + 'name' => 'IB_PORT_PART_EN_INB_F', + 'value' => '58' + }, + '590' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_VALID_F', + 'value' => '562' + }, + '591' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_CONTROL_TYPE_F', + 'value' => '563' + }, + '592' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_THRESHOLD_F', + 'value' => '564' + }, + '593' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_PACKET_SIZE_F', + 'value' => '565' + }, + '594' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_CONG_PARM_MARKING_RATE_F', + 'value' => '566' + }, + '595' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_LAST_F', + 'value' => '567' + }, + '596' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_FIRST_F', + 'value' => '568' + }, + '597' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_PORT_CONTROL_F', + 'value' => '568' + }, + '598' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_CONTROL_MAP_F', + 'value' => '569' + }, + '599' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_LAST_F', + 'value' => '570' + }, + '6' => { + 'name' => 'IB_MAD_MGMTCLASS_F', + 'value' => '6' + }, + '60' => { + 'name' => 'IB_PORT_PART_EN_OUTB_F', + 'value' => '59' + }, + '600' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_FIRST_F', + 'value' => '571' + }, + '601' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_TIMER_F', + 'value' => '571' + }, + '602' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_INCREASE_F', + 'value' => '572' + }, + '603' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_TRIGGER_THRESHOLD_F', + 'value' => '573' + }, + '604' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_MIN_F', + 'value' => '574' + }, + '605' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_LAST_F', + 'value' => '575' + }, + '606' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_FIRST_F', + 'value' => '576' + }, + '607' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_CCTI_LIMIT_F', + 'value' => '576' + }, + '608' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_LAST_F', + 'value' => '577' + }, + '609' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_FIRST_F', + 'value' => '578' + }, + '61' => { + 'name' => 'IB_PORT_FILTER_RAW_INB_F', + 'value' => '60' + }, + '610' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_CCT_SHIFT_F', + 'value' => '578' + }, + '611' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_CCT_MULTIPLIER_F', + 'value' => '579' + }, + '612' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_LAST_F', + 'value' => '580' + }, + '613' => { + 'name' => 'IB_CC_TIMESTAMP_FIRST_F', + 'value' => '581' + }, + '614' => { + 'name' => 'IB_CC_TIMESTAMP_F', + 'value' => '581' + }, + '615' => { + 'name' => 'IB_CC_TIMESTAMP_LAST_F', + 'value' => '582' + }, + '616' => { + 'name' => 'IB_SA_NR_FIRST_F', + 'value' => '583' + }, + '617' => { + 'name' => 'IB_SA_NR_LID_F', + 'value' => '583' + }, + '618' => { + 'name' => 'IB_SA_NR_BASEVER_F', + 'value' => '584' + }, + '619' => { + 'name' => 'IB_SA_NR_CLASSVER_F', + 'value' => '585' + }, + '62' => { + 'name' => 'IB_PORT_FILTER_RAW_OUTB_F', + 'value' => '61' + }, + '620' => { + 'name' => 'IB_SA_NR_TYPE_F', + 'value' => '586' + }, + '621' => { + 'name' => 'IB_SA_NR_NPORTS_F', + 'value' => '587' + }, + '622' => { + 'name' => 'IB_SA_NR_SYSTEM_GUID_F', + 'value' => '588' + }, + '623' => { + 'name' => 'IB_SA_NR_GUID_F', + 'value' => '589' + }, + '624' => { + 'name' => 'IB_SA_NR_PORT_GUID_F', + 'value' => '590' + }, + '625' => { + 'name' => 'IB_SA_NR_PARTITION_CAP_F', + 'value' => '591' + }, + '626' => { + 'name' => 'IB_SA_NR_DEVID_F', + 'value' => '592' + }, + '627' => { + 'name' => 'IB_SA_NR_REVISION_F', + 'value' => '593' + }, + '628' => { + 'name' => 'IB_SA_NR_LOCAL_PORT_F', + 'value' => '594' + }, + '629' => { + 'name' => 'IB_SA_NR_VENDORID_F', + 'value' => '595' + }, + '63' => { + 'name' => 'IB_PORT_MKEY_VIOL_F', + 'value' => '62' + }, + '630' => { + 'name' => 'IB_SA_NR_NODEDESC_F', + 'value' => '596' + }, + '631' => { + 'name' => 'IB_SA_NR_LAST_F', + 'value' => '597' + }, + '632' => { + 'name' => 'IB_PSR_TAG_F', + 'value' => '598' + }, + '633' => { + 'name' => 'IB_PSR_SAMPLE_STATUS_F', + 'value' => '599' + }, + '634' => { + 'name' => 'IB_PSR_COUNTER0_F', + 'value' => '600' + }, + '635' => { + 'name' => 'IB_PSR_COUNTER1_F', + 'value' => '601' + }, + '636' => { + 'name' => 'IB_PSR_COUNTER2_F', + 'value' => '602' + }, + '637' => { + 'name' => 'IB_PSR_COUNTER3_F', + 'value' => '603' + }, + '638' => { + 'name' => 'IB_PSR_COUNTER4_F', + 'value' => '604' + }, + '639' => { + 'name' => 'IB_PSR_COUNTER5_F', + 'value' => '605' + }, + '64' => { + 'name' => 'IB_PORT_PKEY_VIOL_F', + 'value' => '63' + }, + '640' => { + 'name' => 'IB_PSR_COUNTER6_F', + 'value' => '606' + }, + '641' => { + 'name' => 'IB_PSR_COUNTER7_F', + 'value' => '607' + }, + '642' => { + 'name' => 'IB_PSR_COUNTER8_F', + 'value' => '608' + }, + '643' => { + 'name' => 'IB_PSR_COUNTER9_F', + 'value' => '609' + }, + '644' => { + 'name' => 'IB_PSR_COUNTER10_F', + 'value' => '610' + }, + '645' => { + 'name' => 'IB_PSR_COUNTER11_F', + 'value' => '611' + }, + '646' => { + 'name' => 'IB_PSR_COUNTER12_F', + 'value' => '612' + }, + '647' => { + 'name' => 'IB_PSR_COUNTER13_F', + 'value' => '613' + }, + '648' => { + 'name' => 'IB_PSR_COUNTER14_F', + 'value' => '614' + }, + '649' => { + 'name' => 'IB_PSR_LAST_F', + 'value' => '615' + }, + '65' => { + 'name' => 'IB_PORT_QKEY_VIOL_F', + 'value' => '64' + }, + '650' => { + 'name' => 'IB_PORT_EXT_FIRST_F', + 'value' => '616' + }, + '651' => { + 'name' => 'IB_PORT_EXT_CAPMASK_F', + 'value' => '616' + }, + '652' => { + 'name' => 'IB_PORT_EXT_FEC_MODE_ACTIVE_F', + 'value' => '617' + }, + '653' => { + 'name' => 'IB_PORT_EXT_FDR_FEC_MODE_SUPPORTED_F', + 'value' => '618' + }, + '654' => { + 'name' => 'IB_PORT_EXT_FDR_FEC_MODE_ENABLED_F', + 'value' => '619' + }, + '655' => { + 'name' => 'IB_PORT_EXT_EDR_FEC_MODE_SUPPORTED_F', + 'value' => '620' + }, + '656' => { + 'name' => 'IB_PORT_EXT_EDR_FEC_MODE_ENABLED_F', + 'value' => '621' + }, + '657' => { + 'name' => 'IB_PORT_EXT_LAST_F', + 'value' => '622' + }, + '658' => { + 'name' => 'IB_PESC_RSFEC_FIRST_F', + 'value' => '623' + }, + '659' => { + 'name' => 'IB_PESC_RSFEC_PORT_SELECT_F', + 'value' => '623' + }, + '66' => { + 'name' => 'IB_PORT_GUID_CAP_F', + 'value' => '65' + }, + '660' => { + 'name' => 'IB_PESC_RSFEC_COUNTER_SELECT_F', + 'value' => '624' + }, + '661' => { + 'name' => 'IB_PESC_RSFEC_SYNC_HDR_ERR_CTR_F', + 'value' => '625' + }, + '662' => { + 'name' => 'IB_PESC_RSFEC_UNK_BLOCK_CTR_F', + 'value' => '626' + }, + '663' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE0_F', + 'value' => '627' + }, + '664' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE1_F', + 'value' => '628' + }, + '665' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE2_F', + 'value' => '629' + }, + '666' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE3_F', + 'value' => '630' + }, + '667' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE4_F', + 'value' => '631' + }, + '668' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE5_F', + 'value' => '632' + }, + '669' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE6_F', + 'value' => '633' + }, + '67' => { + 'name' => 'IB_PORT_CLIENT_REREG_F', + 'value' => '66' + }, + '670' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE7_F', + 'value' => '634' + }, + '671' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE8_F', + 'value' => '635' + }, + '672' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE9_F', + 'value' => '636' + }, + '673' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE10_F', + 'value' => '637' + }, + '674' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE11_F', + 'value' => '638' + }, + '675' => { + 'name' => 'IB_PESC_PORT_FEC_CORR_BLOCK_CTR_F', + 'value' => '639' + }, + '676' => { + 'name' => 'IB_PESC_PORT_FEC_UNCORR_BLOCK_CTR_F', + 'value' => '640' + }, + '677' => { + 'name' => 'IB_PESC_PORT_FEC_CORR_SYMBOL_CTR_F', + 'value' => '641' + }, + '678' => { + 'name' => 'IB_PESC_RSFEC_LAST_F', + 'value' => '642' + }, + '679' => { + 'name' => 'IB_PC_EXT_COUNTER_SELECT2_F', + 'value' => '643' + }, + '68' => { + 'name' => 'IB_PORT_MCAST_PKEY_SUPR_ENAB_F', + 'value' => '67' + }, + '680' => { + 'name' => 'IB_PC_EXT_ERR_SYM_F', + 'value' => '644' + }, + '681' => { + 'name' => 'IB_PC_EXT_LINK_RECOVERS_F', + 'value' => '645' + }, + '682' => { + 'name' => 'IB_PC_EXT_LINK_DOWNED_F', + 'value' => '646' + }, + '683' => { + 'name' => 'IB_PC_EXT_ERR_RCV_F', + 'value' => '647' + }, + '684' => { + 'name' => 'IB_PC_EXT_ERR_PHYSRCV_F', + 'value' => '648' + }, + '685' => { + 'name' => 'IB_PC_EXT_ERR_SWITCH_REL_F', + 'value' => '649' + }, + '686' => { + 'name' => 'IB_PC_EXT_XMT_DISCARDS_F', + 'value' => '650' + }, + '687' => { + 'name' => 'IB_PC_EXT_ERR_XMTCONSTR_F', + 'value' => '651' + }, + '688' => { + 'name' => 'IB_PC_EXT_ERR_RCVCONSTR_F', + 'value' => '652' + }, + '689' => { + 'name' => 'IB_PC_EXT_ERR_LOCALINTEG_F', + 'value' => '653' + }, + '69' => { + 'name' => 'IB_PORT_SUBN_TIMEOUT_F', + 'value' => '68' + }, + '690' => { + 'name' => 'IB_PC_EXT_ERR_EXCESS_OVR_F', + 'value' => '654' + }, + '691' => { + 'name' => 'IB_PC_EXT_VL15_DROPPED_F', + 'value' => '655' + }, + '692' => { + 'name' => 'IB_PC_EXT_XMT_WAIT_F', + 'value' => '656' + }, + '693' => { + 'name' => 'IB_PC_EXT_QP1_DROP_F', + 'value' => '657' + }, + '694' => { + 'name' => 'IB_PC_EXT_ERR_LAST_F', + 'value' => '658' + }, + '695' => { + 'name' => 'IB_PC_QP1_DROP_F', + 'value' => '659' + }, + '696' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_SUPPORTED_F', + 'value' => '660' + }, + '697' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_ENABLED_F', + 'value' => '661' + }, + '698' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_LAST_F', + 'value' => '662' + }, + '699' => { + 'name' => 'IB_PORT_EXT_NDR_FEC_MODE_SUPPORTED_F', + 'value' => '663' + }, + '7' => { + 'name' => 'IB_MAD_BASEVER_F', + 'value' => '7' + }, + '70' => { + 'name' => 'IB_PORT_RESP_TIME_VAL_F', + 'value' => '69' + }, + '700' => { + 'name' => 'IB_PORT_EXT_NDR_FEC_MODE_ENABLED_F', + 'value' => '664' + }, + '701' => { + 'name' => 'IB_PORT_EXT_NDR_FEC_MODE_LAST_F', + 'value' => '665' + }, + '702' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ACTIVE_2_F', + 'value' => '666' + }, + '703' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_SUPPORTED_2_F', + 'value' => '667' + }, + '704' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ENABLED_2_F', + 'value' => '668' + }, + '705' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_2_LAST_F', + 'value' => '669' + }, + '706' => { + 'name' => 'IB_FIELD_LAST_', + 'value' => '670' + }, + '71' => { + 'name' => 'IB_PORT_LOCAL_PHYS_ERR_F', + 'value' => '70' + }, + '72' => { + 'name' => 'IB_PORT_OVERRUN_ERR_F', + 'value' => '71' + }, + '73' => { + 'name' => 'IB_PORT_MAX_CREDIT_HINT_F', + 'value' => '72' + }, + '74' => { + 'name' => 'IB_PORT_LINK_ROUND_TRIP_F', + 'value' => '73' + }, + '75' => { + 'name' => 'IB_PORT_LAST_F', + 'value' => '74' + }, + '76' => { + 'name' => 'IB_NODE_FIRST_F', + 'value' => '75' + }, + '77' => { + 'name' => 'IB_NODE_BASE_VERS_F', + 'value' => '75' + }, + '78' => { + 'name' => 'IB_NODE_CLASS_VERS_F', + 'value' => '76' + }, + '79' => { + 'name' => 'IB_NODE_TYPE_F', + 'value' => '77' + }, + '8' => { + 'name' => 'IB_MAD_STATUS_F', + 'value' => '8' + }, + '80' => { + 'name' => 'IB_NODE_NPORTS_F', + 'value' => '78' + }, + '81' => { + 'name' => 'IB_NODE_SYSTEM_GUID_F', + 'value' => '79' + }, + '82' => { + 'name' => 'IB_NODE_GUID_F', + 'value' => '80' + }, + '83' => { + 'name' => 'IB_NODE_PORT_GUID_F', + 'value' => '81' + }, + '84' => { + 'name' => 'IB_NODE_PARTITION_CAP_F', + 'value' => '82' + }, + '85' => { + 'name' => 'IB_NODE_DEVID_F', + 'value' => '83' + }, + '86' => { + 'name' => 'IB_NODE_REVISION_F', + 'value' => '84' + }, + '87' => { + 'name' => 'IB_NODE_LOCAL_PORT_F', + 'value' => '85' + }, + '88' => { + 'name' => 'IB_NODE_VENDORID_F', + 'value' => '86' + }, + '89' => { + 'name' => 'IB_NODE_LAST_F', + 'value' => '87' + }, + '9' => { + 'name' => 'IB_DRSMP_HOPCNT_F', + 'value' => '9' + }, + '90' => { + 'name' => 'IB_SW_FIRST_F', + 'value' => '88' + }, + '91' => { + 'name' => 'IB_SW_LINEAR_FDB_CAP_F', + 'value' => '88' + }, + '92' => { + 'name' => 'IB_SW_RANDOM_FDB_CAP_F', + 'value' => '89' + }, + '93' => { + 'name' => 'IB_SW_MCAST_FDB_CAP_F', + 'value' => '90' + }, + '94' => { + 'name' => 'IB_SW_LINEAR_FDB_TOP_F', + 'value' => '91' + }, + '95' => { + 'name' => 'IB_SW_DEF_PORT_F', + 'value' => '92' + }, + '96' => { + 'name' => 'IB_SW_DEF_MCAST_PRIM_F', + 'value' => '93' + }, + '97' => { + 'name' => 'IB_SW_DEF_MCAST_NOT_PRIM_F', + 'value' => '94' + }, + '98' => { + 'name' => 'IB_SW_LIFE_TIME_F', + 'value' => '95' + }, + '99' => { + 'name' => 'IB_SW_STATE_CHANGE_F', + 'value' => '96' + } + }, + 'Name' => 'enum MAD_FIELDS', + 'Size' => '4', + 'Type' => 'Enum' + }, + '696' => { + 'BaseType' => '305', + 'Header' => undef, + 'Line' => '7', + 'Name' => 'FILE', + 'Size' => '216', + 'Type' => 'Typedef' + }, + '708' => { + 'BaseType' => '1', + 'Header' => undef, + 'Line' => '43', + 'Name' => '_IO_lock_t', + 'Type' => 'Typedef' + }, + '716' => { + 'Name' => 'struct _IO_marker', + 'Type' => 'Struct' + }, + '72' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '721' => { + 'BaseType' => '716', + 'Name' => 'struct _IO_marker*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '726' => { + 'BaseType' => '305', + 'Name' => 'struct _IO_FILE*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '731' => { + 'BaseType' => '89', + 'Name' => 'char[1]', + 'Size' => '1', + 'Type' => 'Array' + }, + '747' => { + 'BaseType' => '708', + 'Name' => '_IO_lock_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '752' => { + 'Name' => 'struct _IO_codecvt', + 'Type' => 'Struct' + }, + '757' => { + 'BaseType' => '752', + 'Name' => 'struct _IO_codecvt*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '762' => { + 'Name' => 'struct _IO_wide_data', + 'Type' => 'Struct' + }, + '767' => { + 'BaseType' => '762', + 'Name' => 'struct _IO_wide_data*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '76744' => { + 'BaseType' => '165', + 'Name' => 'long*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '772' => { + 'BaseType' => '89', + 'Name' => 'char[20]', + 'Size' => '20', + 'Type' => 'Array' + }, + '788' => { + 'BaseType' => '696', + 'Name' => 'FILE*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '79' => { + 'BaseType' => '96', + 'Name' => 'char const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '810' => { + 'BaseType' => '232', + 'Name' => 'uint8_t[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '84764' => { + 'Header' => undef, + 'Line' => '1422', + 'Memb' => { + '0' => { + 'name' => 'IB_DEST_LID', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_DEST_DRPATH', + 'value' => '1' + }, + '2' => { + 'name' => 'IB_DEST_GUID', + 'value' => '2' + }, + '3' => { + 'name' => 'IB_DEST_DRSLID', + 'value' => '3' + }, + '4' => { + 'name' => 'IB_DEST_GID', + 'value' => '4' + } + }, + 'Name' => 'enum MAD_DEST', + 'Size' => '4', + 'Type' => 'Enum' + }, + '85444' => { + 'BaseType' => '1036', + 'Name' => 'ibmad_gid_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '87804' => { + 'BaseType' => '268', + 'Name' => 'uint64_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '89' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '96' => { + 'BaseType' => '89', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + } + }, + 'UndefinedSymbols' => { + 'libibmad.so.5.3.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__fprintf_chk@GLIBC_2.3.4' => 0, + '__gmon_start__' => 0, + '__memset_chk@GLIBC_2.3.4' => 0, + '__printf_chk@GLIBC_2.3.4' => 0, + '__snprintf_chk@GLIBC_2.3.4' => 0, + '__sprintf_chk@GLIBC_2.3.4' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'exit@GLIBC_2.2.5' => 0, + 'fputc@GLIBC_2.2.5' => 0, + 'fputs@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'getenv@GLIBC_2.2.5' => 0, + 'getpid@GLIBC_2.2.5' => 0, + 'inet_ntop@GLIBC_2.2.5' => 0, + 'inet_pton@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'random@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'srandom@GLIBC_2.2.5' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'strchr@GLIBC_2.2.5' => 0, + 'strdup@GLIBC_2.2.5' => 0, + 'strerror@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strncpy@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'strtoull@GLIBC_2.2.5' => 0, + 'time@GLIBC_2.2.5' => 0, + 'umad_addr_dump@IBUMAD_1.0' => 0, + 'umad_close_port@IBUMAD_1.0' => 0, + 'umad_get_mad@IBUMAD_1.0' => 0, + 'umad_get_mad_addr@IBUMAD_1.0' => 0, + 'umad_init@IBUMAD_1.0' => 0, + 'umad_open_port@IBUMAD_1.0' => 0, + 'umad_recv@IBUMAD_1.0' => 0, + 'umad_register@IBUMAD_1.0' => 0, + 'umad_register_oui@IBUMAD_1.0' => 0, + 'umad_send@IBUMAD_1.0' => 0, + 'umad_set_addr@IBUMAD_1.0' => 0, + 'umad_set_grh@IBUMAD_1.0' => 0, + 'umad_set_pkey@IBUMAD_1.0' => 0, + 'umad_size@IBUMAD_1.0' => 0, + 'umad_status@IBUMAD_1.0' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/ibnetdisc.dump b/ABI/ibnetdisc.dump new file mode 100644 index 000000000..230e52fef --- /dev/null +++ b/ABI/ibnetdisc.dump @@ -0,0 +1,4151 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'libibnetdisc.so.5.1.49.0', + 'LibraryVersion' => 'ibnetdisc', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibmad.so.5' => 1, + 'libibumad.so.3' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '17834' => { + 'Header' => undef, + 'Line' => '249', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'chassisnum', + 'type' => '86' + } + }, + 'Return' => '276', + 'ShortName' => 'ibnd_get_chassis_guid' + }, + '18756' => { + 'Header' => undef, + 'Line' => '164', + 'Param' => { + '0' => { + 'name' => 'guid', + 'type' => '276' + } + }, + 'Return' => '65', + 'ShortName' => 'ibnd_is_xsigo_tca' + }, + '18809' => { + 'Header' => undef, + 'Line' => '155', + 'Param' => { + '0' => { + 'name' => 'guid', + 'type' => '276' + } + }, + 'Return' => '65', + 'ShortName' => 'ibnd_is_xsigo_hca' + }, + '18890' => { + 'Header' => undef, + 'Line' => '139', + 'Param' => { + '0' => { + 'name' => 'guid', + 'type' => '276' + } + }, + 'Return' => '65', + 'ShortName' => 'ibnd_is_xsigo_guid' + }, + '19022' => { + 'Header' => undef, + 'Line' => '95', + 'Param' => { + '0' => { + 'name' => 'node', + 'type' => '6420' + }, + '1' => { + 'name' => 'str', + 'type' => '200' + }, + '2' => { + 'name' => 'size', + 'type' => '46' + } + }, + 'Return' => '200', + 'ShortName' => 'ibnd_get_chassis_slot_str' + }, + '19382' => { + 'Header' => undef, + 'Line' => '59', + 'Param' => { + '0' => { + 'name' => 'node', + 'type' => '6420' + } + }, + 'Return' => '288', + 'ShortName' => 'ibnd_get_chassis_type' + }, + '30360' => { + 'Header' => undef, + 'Line' => '1137', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '200' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '65' + }, + '2' => { + 'name' => 'speed', + 'type' => '65' + } + }, + 'Return' => '200', + 'ShortName' => 'ibnd_dump_agg_linkspeedextsup' + }, + '30416' => { + 'Header' => undef, + 'Line' => '1132', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '200' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '65' + }, + '2' => { + 'name' => 'speed', + 'type' => '65' + } + }, + 'Return' => '200', + 'ShortName' => 'ibnd_dump_agg_linkspeedexten' + }, + '30473' => { + 'Header' => undef, + 'Line' => '1099', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '200' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '65' + }, + '2' => { + 'name' => 'speed', + 'type' => '65' + } + }, + 'Return' => '200', + 'ShortName' => 'ibnd_dump_agg_linkspeedext_bits' + }, + '31420' => { + 'Header' => undef, + 'Line' => '1069', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '200' + }, + '1' => { + 'name' => 'bufsz', + 'type' => '65' + }, + '2' => { + 'name' => 'speed', + 'type' => '65' + } + }, + 'Return' => '200', + 'ShortName' => 'ibnd_dump_agg_linkspeedext' + }, + '32300' => { + 'Header' => undef, + 'Line' => '1062', + 'Param' => { + '0' => { + 'name' => 'cap_info', + 'type' => '193' + }, + '1' => { + 'name' => 'info', + 'type' => '193' + } + }, + 'Return' => '65', + 'ShortName' => 'ibnd_get_agg_linkspeedextsup' + }, + '32417' => { + 'Header' => undef, + 'Line' => '1055', + 'Param' => { + '0' => { + 'name' => 'cap_info', + 'type' => '193' + }, + '1' => { + 'name' => 'info', + 'type' => '193' + } + }, + 'Return' => '65', + 'ShortName' => 'ibnd_get_agg_linkspeedexten' + }, + '32534' => { + 'Header' => undef, + 'Line' => '1048', + 'Param' => { + '0' => { + 'name' => 'cap_info', + 'type' => '193' + }, + '1' => { + 'name' => 'info', + 'type' => '193' + } + }, + 'Return' => '65', + 'ShortName' => 'ibnd_get_agg_linkspeedext' + }, + '32651' => { + 'Header' => undef, + 'Line' => '1020', + 'Param' => { + '0' => { + 'name' => 'cap_info', + 'type' => '193' + }, + '1' => { + 'name' => 'info', + 'type' => '193' + }, + '2' => { + 'name' => 'efield', + 'type' => '1064' + }, + '3' => { + 'name' => 'e2field', + 'type' => '1064' + } + }, + 'Return' => '65', + 'ShortName' => 'ibnd_get_agg_linkspeedext_field' + }, + '33053' => { + 'Header' => undef, + 'Line' => '999', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'func', + 'type' => '28606' + }, + '2' => { + 'name' => 'user_data', + 'type' => '193' + } + }, + 'Return' => '1', + 'ShortName' => 'ibnd_iter_ports' + }, + '33407' => { + 'Header' => undef, + 'Line' => '959', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'dr_str', + 'type' => '200' + } + }, + 'Return' => '6674', + 'ShortName' => 'ibnd_find_port_dr' + }, + '33860' => { + 'Header' => undef, + 'Line' => '942', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'guid', + 'type' => '276' + } + }, + 'Return' => '6674', + 'ShortName' => 'ibnd_find_port_guid' + }, + '34063' => { + 'Header' => undef, + 'Line' => '927', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'lid', + 'type' => '252' + } + }, + 'Return' => '6674', + 'ShortName' => 'ibnd_find_port_lid' + }, + '34207' => { + 'Header' => undef, + 'Line' => '892', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'func', + 'type' => '28573' + }, + '2' => { + 'name' => 'node_type', + 'type' => '65' + }, + '3' => { + 'name' => 'user_data', + 'type' => '193' + } + }, + 'Return' => '1', + 'ShortName' => 'ibnd_iter_nodes_type' + }, + '34677' => { + 'Header' => undef, + 'Line' => '873', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'func', + 'type' => '28573' + }, + '2' => { + 'name' => 'user_data', + 'type' => '193' + } + }, + 'Return' => '1', + 'ShortName' => 'ibnd_iter_nodes' + }, + '35008' => { + 'Header' => undef, + 'Line' => '198', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + } + }, + 'Return' => '1', + 'ShortName' => 'ibnd_destroy_fabric' + }, + '35323' => { + 'Header' => undef, + 'Line' => '760', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '200' + }, + '1' => { + 'name' => 'ca_port', + 'type' => '65' + }, + '2' => { + 'name' => 'from', + 'type' => '29776' + }, + '3' => { + 'name' => 'cfg', + 'type' => '29095' + } + }, + 'Return' => '13740', + 'ShortName' => 'ibnd_discover_fabric' + }, + '37888' => { + 'Header' => undef, + 'Line' => '627', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'dr_str', + 'type' => '200' + } + }, + 'Return' => '6420', + 'ShortName' => 'ibnd_find_node_dr' + }, + '38010' => { + 'Header' => undef, + 'Line' => '610', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'guid', + 'type' => '276' + } + }, + 'Return' => '6420', + 'ShortName' => 'ibnd_find_node_guid' + }, + '51201' => { + 'Header' => undef, + 'Line' => '878', + 'Param' => { + '0' => { + 'name' => 'fabric', + 'type' => '13740' + }, + '1' => { + 'name' => 'file', + 'type' => '288' + }, + '2' => { + 'name' => 'flags', + 'type' => '100' + } + }, + 'Return' => '65', + 'ShortName' => 'ibnd_cache_fabric' + }, + '55606' => { + 'Header' => undef, + 'Line' => '620', + 'Param' => { + '0' => { + 'name' => 'file', + 'type' => '288' + }, + '1' => { + 'name' => 'flags', + 'type' => '100' + } + }, + 'Return' => '13740', + 'ShortName' => 'ibnd_load_fabric' + } + }, + 'SymbolVersion' => { + 'ibnd_cache_fabric' => 'ibnd_cache_fabric@@IBNETDISC_1.0', + 'ibnd_destroy_fabric' => 'ibnd_destroy_fabric@@IBNETDISC_1.0', + 'ibnd_discover_fabric' => 'ibnd_discover_fabric@@IBNETDISC_1.0', + 'ibnd_dump_agg_linkspeedext' => 'ibnd_dump_agg_linkspeedext@@IBNETDISC_1.1', + 'ibnd_dump_agg_linkspeedext_bits' => 'ibnd_dump_agg_linkspeedext_bits@@IBNETDISC_1.1', + 'ibnd_dump_agg_linkspeedexten' => 'ibnd_dump_agg_linkspeedexten@@IBNETDISC_1.1', + 'ibnd_dump_agg_linkspeedextsup' => 'ibnd_dump_agg_linkspeedextsup@@IBNETDISC_1.1', + 'ibnd_find_node_dr' => 'ibnd_find_node_dr@@IBNETDISC_1.0', + 'ibnd_find_node_guid' => 'ibnd_find_node_guid@@IBNETDISC_1.0', + 'ibnd_find_port_dr' => 'ibnd_find_port_dr@@IBNETDISC_1.0', + 'ibnd_find_port_guid' => 'ibnd_find_port_guid@@IBNETDISC_1.0', + 'ibnd_find_port_lid' => 'ibnd_find_port_lid@@IBNETDISC_1.0', + 'ibnd_get_agg_linkspeedext' => 'ibnd_get_agg_linkspeedext@@IBNETDISC_1.1', + 'ibnd_get_agg_linkspeedext_field' => 'ibnd_get_agg_linkspeedext_field@@IBNETDISC_1.1', + 'ibnd_get_agg_linkspeedexten' => 'ibnd_get_agg_linkspeedexten@@IBNETDISC_1.1', + 'ibnd_get_agg_linkspeedextsup' => 'ibnd_get_agg_linkspeedextsup@@IBNETDISC_1.1', + 'ibnd_get_chassis_guid' => 'ibnd_get_chassis_guid@@IBNETDISC_1.0', + 'ibnd_get_chassis_slot_str' => 'ibnd_get_chassis_slot_str@@IBNETDISC_1.0', + 'ibnd_get_chassis_type' => 'ibnd_get_chassis_type@@IBNETDISC_1.0', + 'ibnd_is_xsigo_guid' => 'ibnd_is_xsigo_guid@@IBNETDISC_1.0', + 'ibnd_is_xsigo_hca' => 'ibnd_is_xsigo_hca@@IBNETDISC_1.0', + 'ibnd_is_xsigo_tca' => 'ibnd_is_xsigo_tca@@IBNETDISC_1.0', + 'ibnd_iter_nodes' => 'ibnd_iter_nodes@@IBNETDISC_1.0', + 'ibnd_iter_nodes_type' => 'ibnd_iter_nodes_type@@IBNETDISC_1.0', + 'ibnd_iter_ports' => 'ibnd_iter_ports@@IBNETDISC_1.0', + 'ibnd_load_fabric' => 'ibnd_load_fabric@@IBNETDISC_1.0' + }, + 'Symbols' => { + 'libibnetdisc.so.5.1.49.0' => { + 'ibnd_cache_fabric@@IBNETDISC_1.0' => 1, + 'ibnd_destroy_fabric@@IBNETDISC_1.0' => 1, + 'ibnd_discover_fabric@@IBNETDISC_1.0' => 1, + 'ibnd_dump_agg_linkspeedext@@IBNETDISC_1.1' => 1, + 'ibnd_dump_agg_linkspeedext_bits@@IBNETDISC_1.1' => 1, + 'ibnd_dump_agg_linkspeedexten@@IBNETDISC_1.1' => 1, + 'ibnd_dump_agg_linkspeedextsup@@IBNETDISC_1.1' => 1, + 'ibnd_find_node_dr@@IBNETDISC_1.0' => 1, + 'ibnd_find_node_guid@@IBNETDISC_1.0' => 1, + 'ibnd_find_port_dr@@IBNETDISC_1.0' => 1, + 'ibnd_find_port_guid@@IBNETDISC_1.0' => 1, + 'ibnd_find_port_lid@@IBNETDISC_1.0' => 1, + 'ibnd_get_agg_linkspeedext@@IBNETDISC_1.1' => 1, + 'ibnd_get_agg_linkspeedext_field@@IBNETDISC_1.1' => 1, + 'ibnd_get_agg_linkspeedexten@@IBNETDISC_1.1' => 1, + 'ibnd_get_agg_linkspeedextsup@@IBNETDISC_1.1' => 1, + 'ibnd_get_chassis_guid@@IBNETDISC_1.0' => 1, + 'ibnd_get_chassis_slot_str@@IBNETDISC_1.0' => 1, + 'ibnd_get_chassis_type@@IBNETDISC_1.0' => 1, + 'ibnd_is_xsigo_guid@@IBNETDISC_1.0' => 1, + 'ibnd_is_xsigo_hca@@IBNETDISC_1.0' => 1, + 'ibnd_is_xsigo_tca@@IBNETDISC_1.0' => 1, + 'ibnd_iter_nodes@@IBNETDISC_1.0' => 1, + 'ibnd_iter_nodes_type@@IBNETDISC_1.0' => 1, + 'ibnd_iter_ports@@IBNETDISC_1.0' => 1, + 'ibnd_load_fabric@@IBNETDISC_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '100' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '1051' => { + 'BaseType' => '934', + 'Header' => undef, + 'Line' => '317', + 'Name' => 'ib_portid_t', + 'Size' => '112', + 'Type' => 'Typedef' + }, + '1064' => { + 'Header' => undef, + 'Line' => '330', + 'Memb' => { + '0' => { + 'name' => 'IB_NO_FIELD', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_GID_PREFIX_F', + 'value' => '1' + }, + '10' => { + 'name' => 'IB_DRSMP_HOPPTR_F', + 'value' => '10' + }, + '100' => { + 'name' => 'IB_SW_OPT_SLTOVL_MAPPING_F', + 'value' => '97' + }, + '101' => { + 'name' => 'IB_SW_LIDS_PER_PORT_F', + 'value' => '98' + }, + '102' => { + 'name' => 'IB_SW_PARTITION_ENFORCE_CAP_F', + 'value' => '99' + }, + '103' => { + 'name' => 'IB_SW_PARTITION_ENF_INB_F', + 'value' => '100' + }, + '104' => { + 'name' => 'IB_SW_PARTITION_ENF_OUTB_F', + 'value' => '101' + }, + '105' => { + 'name' => 'IB_SW_FILTER_RAW_INB_F', + 'value' => '102' + }, + '106' => { + 'name' => 'IB_SW_FILTER_RAW_OUTB_F', + 'value' => '103' + }, + '107' => { + 'name' => 'IB_SW_ENHANCED_PORT0_F', + 'value' => '104' + }, + '108' => { + 'name' => 'IB_SW_MCAST_FDB_TOP_F', + 'value' => '105' + }, + '109' => { + 'name' => 'IB_SW_LAST_F', + 'value' => '106' + }, + '11' => { + 'name' => 'IB_DRSMP_STATUS_F', + 'value' => '11' + }, + '110' => { + 'name' => 'IB_LINEAR_FORW_TBL_F', + 'value' => '107' + }, + '111' => { + 'name' => 'IB_MULTICAST_FORW_TBL_F', + 'value' => '108' + }, + '112' => { + 'name' => 'IB_NODE_DESC_F', + 'value' => '109' + }, + '113' => { + 'name' => 'IB_NOTICE_IS_GENERIC_F', + 'value' => '110' + }, + '114' => { + 'name' => 'IB_NOTICE_TYPE_F', + 'value' => '111' + }, + '115' => { + 'name' => 'IB_NOTICE_PRODUCER_F', + 'value' => '112' + }, + '116' => { + 'name' => 'IB_NOTICE_TRAP_NUMBER_F', + 'value' => '113' + }, + '117' => { + 'name' => 'IB_NOTICE_ISSUER_LID_F', + 'value' => '114' + }, + '118' => { + 'name' => 'IB_NOTICE_TOGGLE_F', + 'value' => '115' + }, + '119' => { + 'name' => 'IB_NOTICE_COUNT_F', + 'value' => '116' + }, + '12' => { + 'name' => 'IB_DRSMP_DIRECTION_F', + 'value' => '12' + }, + '120' => { + 'name' => 'IB_NOTICE_DATA_DETAILS_F', + 'value' => '117' + }, + '121' => { + 'name' => 'IB_NOTICE_DATA_LID_F', + 'value' => '118' + }, + '122' => { + 'name' => 'IB_NOTICE_DATA_144_LID_F', + 'value' => '119' + }, + '123' => { + 'name' => 'IB_NOTICE_DATA_144_CAPMASK_F', + 'value' => '120' + }, + '124' => { + 'name' => 'IB_PC_FIRST_F', + 'value' => '121' + }, + '125' => { + 'name' => 'IB_PC_PORT_SELECT_F', + 'value' => '121' + }, + '126' => { + 'name' => 'IB_PC_COUNTER_SELECT_F', + 'value' => '122' + }, + '127' => { + 'name' => 'IB_PC_ERR_SYM_F', + 'value' => '123' + }, + '128' => { + 'name' => 'IB_PC_LINK_RECOVERS_F', + 'value' => '124' + }, + '129' => { + 'name' => 'IB_PC_LINK_DOWNED_F', + 'value' => '125' + }, + '13' => { + 'name' => 'IB_MAD_TRID_F', + 'value' => '13' + }, + '130' => { + 'name' => 'IB_PC_ERR_RCV_F', + 'value' => '126' + }, + '131' => { + 'name' => 'IB_PC_ERR_PHYSRCV_F', + 'value' => '127' + }, + '132' => { + 'name' => 'IB_PC_ERR_SWITCH_REL_F', + 'value' => '128' + }, + '133' => { + 'name' => 'IB_PC_XMT_DISCARDS_F', + 'value' => '129' + }, + '134' => { + 'name' => 'IB_PC_ERR_XMTCONSTR_F', + 'value' => '130' + }, + '135' => { + 'name' => 'IB_PC_ERR_RCVCONSTR_F', + 'value' => '131' + }, + '136' => { + 'name' => 'IB_PC_COUNTER_SELECT2_F', + 'value' => '132' + }, + '137' => { + 'name' => 'IB_PC_ERR_LOCALINTEG_F', + 'value' => '133' + }, + '138' => { + 'name' => 'IB_PC_ERR_EXCESS_OVR_F', + 'value' => '134' + }, + '139' => { + 'name' => 'IB_PC_VL15_DROPPED_F', + 'value' => '135' + }, + '14' => { + 'name' => 'IB_MAD_ATTRID_F', + 'value' => '14' + }, + '140' => { + 'name' => 'IB_PC_XMT_BYTES_F', + 'value' => '136' + }, + '141' => { + 'name' => 'IB_PC_RCV_BYTES_F', + 'value' => '137' + }, + '142' => { + 'name' => 'IB_PC_XMT_PKTS_F', + 'value' => '138' + }, + '143' => { + 'name' => 'IB_PC_RCV_PKTS_F', + 'value' => '139' + }, + '144' => { + 'name' => 'IB_PC_XMT_WAIT_F', + 'value' => '140' + }, + '145' => { + 'name' => 'IB_PC_LAST_F', + 'value' => '141' + }, + '146' => { + 'name' => 'IB_SMINFO_GUID_F', + 'value' => '142' + }, + '147' => { + 'name' => 'IB_SMINFO_KEY_F', + 'value' => '143' + }, + '148' => { + 'name' => 'IB_SMINFO_ACT_F', + 'value' => '144' + }, + '149' => { + 'name' => 'IB_SMINFO_PRIO_F', + 'value' => '145' + }, + '15' => { + 'name' => 'IB_MAD_ATTRMOD_F', + 'value' => '15' + }, + '150' => { + 'name' => 'IB_SMINFO_STATE_F', + 'value' => '146' + }, + '151' => { + 'name' => 'IB_SA_RMPP_VERS_F', + 'value' => '147' + }, + '152' => { + 'name' => 'IB_SA_RMPP_TYPE_F', + 'value' => '148' + }, + '153' => { + 'name' => 'IB_SA_RMPP_RESP_F', + 'value' => '149' + }, + '154' => { + 'name' => 'IB_SA_RMPP_FLAGS_F', + 'value' => '150' + }, + '155' => { + 'name' => 'IB_SA_RMPP_STATUS_F', + 'value' => '151' + }, + '156' => { + 'name' => 'IB_SA_RMPP_D1_F', + 'value' => '152' + }, + '157' => { + 'name' => 'IB_SA_RMPP_SEGNUM_F', + 'value' => '153' + }, + '158' => { + 'name' => 'IB_SA_RMPP_D2_F', + 'value' => '154' + }, + '159' => { + 'name' => 'IB_SA_RMPP_LEN_F', + 'value' => '155' + }, + '16' => { + 'name' => 'IB_MAD_MKEY_F', + 'value' => '16' + }, + '160' => { + 'name' => 'IB_SA_RMPP_NEWWIN_F', + 'value' => '156' + }, + '161' => { + 'name' => 'IB_SA_MP_NPATH_F', + 'value' => '157' + }, + '162' => { + 'name' => 'IB_SA_MP_NSRC_F', + 'value' => '158' + }, + '163' => { + 'name' => 'IB_SA_MP_NDEST_F', + 'value' => '159' + }, + '164' => { + 'name' => 'IB_SA_MP_GID0_F', + 'value' => '160' + }, + '165' => { + 'name' => 'IB_SA_PR_DGID_F', + 'value' => '161' + }, + '166' => { + 'name' => 'IB_SA_PR_SGID_F', + 'value' => '162' + }, + '167' => { + 'name' => 'IB_SA_PR_DLID_F', + 'value' => '163' + }, + '168' => { + 'name' => 'IB_SA_PR_SLID_F', + 'value' => '164' + }, + '169' => { + 'name' => 'IB_SA_PR_NPATH_F', + 'value' => '165' + }, + '17' => { + 'name' => 'IB_DRSMP_DRDLID_F', + 'value' => '17' + }, + '170' => { + 'name' => 'IB_SA_PR_SL_F', + 'value' => '166' + }, + '171' => { + 'name' => 'IB_SA_MCM_MGID_F', + 'value' => '167' + }, + '172' => { + 'name' => 'IB_SA_MCM_PORTGID_F', + 'value' => '168' + }, + '173' => { + 'name' => 'IB_SA_MCM_QKEY_F', + 'value' => '169' + }, + '174' => { + 'name' => 'IB_SA_MCM_MLID_F', + 'value' => '170' + }, + '175' => { + 'name' => 'IB_SA_MCM_SL_F', + 'value' => '171' + }, + '176' => { + 'name' => 'IB_SA_MCM_MTU_F', + 'value' => '172' + }, + '177' => { + 'name' => 'IB_SA_MCM_RATE_F', + 'value' => '173' + }, + '178' => { + 'name' => 'IB_SA_MCM_TCLASS_F', + 'value' => '174' + }, + '179' => { + 'name' => 'IB_SA_MCM_PKEY_F', + 'value' => '175' + }, + '18' => { + 'name' => 'IB_DRSMP_DRSLID_F', + 'value' => '18' + }, + '180' => { + 'name' => 'IB_SA_MCM_FLOW_LABEL_F', + 'value' => '176' + }, + '181' => { + 'name' => 'IB_SA_MCM_JOIN_STATE_F', + 'value' => '177' + }, + '182' => { + 'name' => 'IB_SA_MCM_PROXY_JOIN_F', + 'value' => '178' + }, + '183' => { + 'name' => 'IB_SA_SR_ID_F', + 'value' => '179' + }, + '184' => { + 'name' => 'IB_SA_SR_GID_F', + 'value' => '180' + }, + '185' => { + 'name' => 'IB_SA_SR_PKEY_F', + 'value' => '181' + }, + '186' => { + 'name' => 'IB_SA_SR_LEASE_F', + 'value' => '182' + }, + '187' => { + 'name' => 'IB_SA_SR_KEY_F', + 'value' => '183' + }, + '188' => { + 'name' => 'IB_SA_SR_NAME_F', + 'value' => '184' + }, + '189' => { + 'name' => 'IB_SA_SR_DATA_F', + 'value' => '185' + }, + '19' => { + 'name' => 'IB_SA_MKEY_F', + 'value' => '19' + }, + '190' => { + 'name' => 'IB_ATS_SM_NODE_ADDR_F', + 'value' => '186' + }, + '191' => { + 'name' => 'IB_ATS_SM_MAGIC_KEY_F', + 'value' => '187' + }, + '192' => { + 'name' => 'IB_ATS_SM_NODE_TYPE_F', + 'value' => '188' + }, + '193' => { + 'name' => 'IB_ATS_SM_NODE_NAME_F', + 'value' => '189' + }, + '194' => { + 'name' => 'IB_SLTOVL_MAPPING_TABLE_F', + 'value' => '190' + }, + '195' => { + 'name' => 'IB_VL_ARBITRATION_TABLE_F', + 'value' => '191' + }, + '196' => { + 'name' => 'IB_VEND2_OUI_F', + 'value' => '192' + }, + '197' => { + 'name' => 'IB_VEND2_DATA_F', + 'value' => '193' + }, + '198' => { + 'name' => 'IB_PC_EXT_FIRST_F', + 'value' => '194' + }, + '199' => { + 'name' => 'IB_PC_EXT_PORT_SELECT_F', + 'value' => '194' + }, + '2' => { + 'name' => 'IB_GID_GUID_F', + 'value' => '2' + }, + '20' => { + 'name' => 'IB_SA_ATTROFFS_F', + 'value' => '20' + }, + '200' => { + 'name' => 'IB_PC_EXT_COUNTER_SELECT_F', + 'value' => '195' + }, + '201' => { + 'name' => 'IB_PC_EXT_XMT_BYTES_F', + 'value' => '196' + }, + '202' => { + 'name' => 'IB_PC_EXT_RCV_BYTES_F', + 'value' => '197' + }, + '203' => { + 'name' => 'IB_PC_EXT_XMT_PKTS_F', + 'value' => '198' + }, + '204' => { + 'name' => 'IB_PC_EXT_RCV_PKTS_F', + 'value' => '199' + }, + '205' => { + 'name' => 'IB_PC_EXT_XMT_UPKTS_F', + 'value' => '200' + }, + '206' => { + 'name' => 'IB_PC_EXT_RCV_UPKTS_F', + 'value' => '201' + }, + '207' => { + 'name' => 'IB_PC_EXT_XMT_MPKTS_F', + 'value' => '202' + }, + '208' => { + 'name' => 'IB_PC_EXT_RCV_MPKTS_F', + 'value' => '203' + }, + '209' => { + 'name' => 'IB_PC_EXT_LAST_F', + 'value' => '204' + }, + '21' => { + 'name' => 'IB_SA_COMPMASK_F', + 'value' => '21' + }, + '210' => { + 'name' => 'IB_GUID_GUID0_F', + 'value' => '205' + }, + '211' => { + 'name' => 'IB_CPI_BASEVER_F', + 'value' => '206' + }, + '212' => { + 'name' => 'IB_CPI_CLASSVER_F', + 'value' => '207' + }, + '213' => { + 'name' => 'IB_CPI_CAPMASK_F', + 'value' => '208' + }, + '214' => { + 'name' => 'IB_CPI_CAPMASK2_F', + 'value' => '209' + }, + '215' => { + 'name' => 'IB_CPI_RESP_TIME_VALUE_F', + 'value' => '210' + }, + '216' => { + 'name' => 'IB_CPI_REDIRECT_GID_F', + 'value' => '211' + }, + '217' => { + 'name' => 'IB_CPI_REDIRECT_TC_F', + 'value' => '212' + }, + '218' => { + 'name' => 'IB_CPI_REDIRECT_SL_F', + 'value' => '213' + }, + '219' => { + 'name' => 'IB_CPI_REDIRECT_FL_F', + 'value' => '214' + }, + '22' => { + 'name' => 'IB_SA_DATA_F', + 'value' => '22' + }, + '220' => { + 'name' => 'IB_CPI_REDIRECT_LID_F', + 'value' => '215' + }, + '221' => { + 'name' => 'IB_CPI_REDIRECT_PKEY_F', + 'value' => '216' + }, + '222' => { + 'name' => 'IB_CPI_REDIRECT_QP_F', + 'value' => '217' + }, + '223' => { + 'name' => 'IB_CPI_REDIRECT_QKEY_F', + 'value' => '218' + }, + '224' => { + 'name' => 'IB_CPI_TRAP_GID_F', + 'value' => '219' + }, + '225' => { + 'name' => 'IB_CPI_TRAP_TC_F', + 'value' => '220' + }, + '226' => { + 'name' => 'IB_CPI_TRAP_SL_F', + 'value' => '221' + }, + '227' => { + 'name' => 'IB_CPI_TRAP_FL_F', + 'value' => '222' + }, + '228' => { + 'name' => 'IB_CPI_TRAP_LID_F', + 'value' => '223' + }, + '229' => { + 'name' => 'IB_CPI_TRAP_PKEY_F', + 'value' => '224' + }, + '23' => { + 'name' => 'IB_SM_DATA_F', + 'value' => '23' + }, + '230' => { + 'name' => 'IB_CPI_TRAP_HL_F', + 'value' => '225' + }, + '231' => { + 'name' => 'IB_CPI_TRAP_QP_F', + 'value' => '226' + }, + '232' => { + 'name' => 'IB_CPI_TRAP_QKEY_F', + 'value' => '227' + }, + '233' => { + 'name' => 'IB_PC_XMT_DATA_SL_FIRST_F', + 'value' => '228' + }, + '234' => { + 'name' => 'IB_PC_XMT_DATA_SL0_F', + 'value' => '228' + }, + '235' => { + 'name' => 'IB_PC_XMT_DATA_SL1_F', + 'value' => '229' + }, + '236' => { + 'name' => 'IB_PC_XMT_DATA_SL2_F', + 'value' => '230' + }, + '237' => { + 'name' => 'IB_PC_XMT_DATA_SL3_F', + 'value' => '231' + }, + '238' => { + 'name' => 'IB_PC_XMT_DATA_SL4_F', + 'value' => '232' + }, + '239' => { + 'name' => 'IB_PC_XMT_DATA_SL5_F', + 'value' => '233' + }, + '24' => { + 'name' => 'IB_GS_DATA_F', + 'value' => '24' + }, + '240' => { + 'name' => 'IB_PC_XMT_DATA_SL6_F', + 'value' => '234' + }, + '241' => { + 'name' => 'IB_PC_XMT_DATA_SL7_F', + 'value' => '235' + }, + '242' => { + 'name' => 'IB_PC_XMT_DATA_SL8_F', + 'value' => '236' + }, + '243' => { + 'name' => 'IB_PC_XMT_DATA_SL9_F', + 'value' => '237' + }, + '244' => { + 'name' => 'IB_PC_XMT_DATA_SL10_F', + 'value' => '238' + }, + '245' => { + 'name' => 'IB_PC_XMT_DATA_SL11_F', + 'value' => '239' + }, + '246' => { + 'name' => 'IB_PC_XMT_DATA_SL12_F', + 'value' => '240' + }, + '247' => { + 'name' => 'IB_PC_XMT_DATA_SL13_F', + 'value' => '241' + }, + '248' => { + 'name' => 'IB_PC_XMT_DATA_SL14_F', + 'value' => '242' + }, + '249' => { + 'name' => 'IB_PC_XMT_DATA_SL15_F', + 'value' => '243' + }, + '25' => { + 'name' => 'IB_DRSMP_PATH_F', + 'value' => '25' + }, + '250' => { + 'name' => 'IB_PC_XMT_DATA_SL_LAST_F', + 'value' => '244' + }, + '251' => { + 'name' => 'IB_PC_RCV_DATA_SL_FIRST_F', + 'value' => '245' + }, + '252' => { + 'name' => 'IB_PC_RCV_DATA_SL0_F', + 'value' => '245' + }, + '253' => { + 'name' => 'IB_PC_RCV_DATA_SL1_F', + 'value' => '246' + }, + '254' => { + 'name' => 'IB_PC_RCV_DATA_SL2_F', + 'value' => '247' + }, + '255' => { + 'name' => 'IB_PC_RCV_DATA_SL3_F', + 'value' => '248' + }, + '256' => { + 'name' => 'IB_PC_RCV_DATA_SL4_F', + 'value' => '249' + }, + '257' => { + 'name' => 'IB_PC_RCV_DATA_SL5_F', + 'value' => '250' + }, + '258' => { + 'name' => 'IB_PC_RCV_DATA_SL6_F', + 'value' => '251' + }, + '259' => { + 'name' => 'IB_PC_RCV_DATA_SL7_F', + 'value' => '252' + }, + '26' => { + 'name' => 'IB_DRSMP_RPATH_F', + 'value' => '26' + }, + '260' => { + 'name' => 'IB_PC_RCV_DATA_SL8_F', + 'value' => '253' + }, + '261' => { + 'name' => 'IB_PC_RCV_DATA_SL9_F', + 'value' => '254' + }, + '262' => { + 'name' => 'IB_PC_RCV_DATA_SL10_F', + 'value' => '255' + }, + '263' => { + 'name' => 'IB_PC_RCV_DATA_SL11_F', + 'value' => '256' + }, + '264' => { + 'name' => 'IB_PC_RCV_DATA_SL12_F', + 'value' => '257' + }, + '265' => { + 'name' => 'IB_PC_RCV_DATA_SL13_F', + 'value' => '258' + }, + '266' => { + 'name' => 'IB_PC_RCV_DATA_SL14_F', + 'value' => '259' + }, + '267' => { + 'name' => 'IB_PC_RCV_DATA_SL15_F', + 'value' => '260' + }, + '268' => { + 'name' => 'IB_PC_RCV_DATA_SL_LAST_F', + 'value' => '261' + }, + '269' => { + 'name' => 'IB_PC_XMT_INACT_DISC_F', + 'value' => '262' + }, + '27' => { + 'name' => 'IB_PORT_FIRST_F', + 'value' => '27' + }, + '270' => { + 'name' => 'IB_PC_XMT_NEIGH_MTU_DISC_F', + 'value' => '263' + }, + '271' => { + 'name' => 'IB_PC_XMT_SW_LIFE_DISC_F', + 'value' => '264' + }, + '272' => { + 'name' => 'IB_PC_XMT_SW_HOL_DISC_F', + 'value' => '265' + }, + '273' => { + 'name' => 'IB_PC_XMT_DISC_LAST_F', + 'value' => '266' + }, + '274' => { + 'name' => 'IB_PC_RCV_LOCAL_PHY_ERR_F', + 'value' => '267' + }, + '275' => { + 'name' => 'IB_PC_RCV_MALFORMED_PKT_ERR_F', + 'value' => '268' + }, + '276' => { + 'name' => 'IB_PC_RCV_BUF_OVR_ERR_F', + 'value' => '269' + }, + '277' => { + 'name' => 'IB_PC_RCV_DLID_MAP_ERR_F', + 'value' => '270' + }, + '278' => { + 'name' => 'IB_PC_RCV_VL_MAP_ERR_F', + 'value' => '271' + }, + '279' => { + 'name' => 'IB_PC_RCV_LOOPING_ERR_F', + 'value' => '272' + }, + '28' => { + 'name' => 'IB_PORT_MKEY_F', + 'value' => '27' + }, + '280' => { + 'name' => 'IB_PC_RCV_ERR_LAST_F', + 'value' => '273' + }, + '281' => { + 'name' => 'IB_PSC_OPCODE_F', + 'value' => '274' + }, + '282' => { + 'name' => 'IB_PSC_PORT_SELECT_F', + 'value' => '275' + }, + '283' => { + 'name' => 'IB_PSC_TICK_F', + 'value' => '276' + }, + '284' => { + 'name' => 'IB_PSC_COUNTER_WIDTH_F', + 'value' => '277' + }, + '285' => { + 'name' => 'IB_PSC_COUNTER_MASK0_F', + 'value' => '278' + }, + '286' => { + 'name' => 'IB_PSC_COUNTER_MASKS1TO9_F', + 'value' => '279' + }, + '287' => { + 'name' => 'IB_PSC_COUNTER_MASKS10TO14_F', + 'value' => '280' + }, + '288' => { + 'name' => 'IB_PSC_SAMPLE_MECHS_F', + 'value' => '281' + }, + '289' => { + 'name' => 'IB_PSC_SAMPLE_STATUS_F', + 'value' => '282' + }, + '29' => { + 'name' => 'IB_PORT_GID_PREFIX_F', + 'value' => '28' + }, + '290' => { + 'name' => 'IB_PSC_OPTION_MASK_F', + 'value' => '283' + }, + '291' => { + 'name' => 'IB_PSC_VENDOR_MASK_F', + 'value' => '284' + }, + '292' => { + 'name' => 'IB_PSC_SAMPLE_START_F', + 'value' => '285' + }, + '293' => { + 'name' => 'IB_PSC_SAMPLE_INTVL_F', + 'value' => '286' + }, + '294' => { + 'name' => 'IB_PSC_TAG_F', + 'value' => '287' + }, + '295' => { + 'name' => 'IB_PSC_COUNTER_SEL0_F', + 'value' => '288' + }, + '296' => { + 'name' => 'IB_PSC_COUNTER_SEL1_F', + 'value' => '289' + }, + '297' => { + 'name' => 'IB_PSC_COUNTER_SEL2_F', + 'value' => '290' + }, + '298' => { + 'name' => 'IB_PSC_COUNTER_SEL3_F', + 'value' => '291' + }, + '299' => { + 'name' => 'IB_PSC_COUNTER_SEL4_F', + 'value' => '292' + }, + '3' => { + 'name' => 'IB_MAD_METHOD_F', + 'value' => '3' + }, + '30' => { + 'name' => 'IB_PORT_LID_F', + 'value' => '29' + }, + '300' => { + 'name' => 'IB_PSC_COUNTER_SEL5_F', + 'value' => '293' + }, + '301' => { + 'name' => 'IB_PSC_COUNTER_SEL6_F', + 'value' => '294' + }, + '302' => { + 'name' => 'IB_PSC_COUNTER_SEL7_F', + 'value' => '295' + }, + '303' => { + 'name' => 'IB_PSC_COUNTER_SEL8_F', + 'value' => '296' + }, + '304' => { + 'name' => 'IB_PSC_COUNTER_SEL9_F', + 'value' => '297' + }, + '305' => { + 'name' => 'IB_PSC_COUNTER_SEL10_F', + 'value' => '298' + }, + '306' => { + 'name' => 'IB_PSC_COUNTER_SEL11_F', + 'value' => '299' + }, + '307' => { + 'name' => 'IB_PSC_COUNTER_SEL12_F', + 'value' => '300' + }, + '308' => { + 'name' => 'IB_PSC_COUNTER_SEL13_F', + 'value' => '301' + }, + '309' => { + 'name' => 'IB_PSC_COUNTER_SEL14_F', + 'value' => '302' + }, + '31' => { + 'name' => 'IB_PORT_SMLID_F', + 'value' => '30' + }, + '310' => { + 'name' => 'IB_PSC_SAMPLES_ONLY_OPT_MASK_F', + 'value' => '303' + }, + '311' => { + 'name' => 'IB_PSC_LAST_F', + 'value' => '304' + }, + '312' => { + 'name' => 'IB_GI_GUID0_F', + 'value' => '305' + }, + '313' => { + 'name' => 'IB_GI_GUID1_F', + 'value' => '306' + }, + '314' => { + 'name' => 'IB_GI_GUID2_F', + 'value' => '307' + }, + '315' => { + 'name' => 'IB_GI_GUID3_F', + 'value' => '308' + }, + '316' => { + 'name' => 'IB_GI_GUID4_F', + 'value' => '309' + }, + '317' => { + 'name' => 'IB_GI_GUID5_F', + 'value' => '310' + }, + '318' => { + 'name' => 'IB_GI_GUID6_F', + 'value' => '311' + }, + '319' => { + 'name' => 'IB_GI_GUID7_F', + 'value' => '312' + }, + '32' => { + 'name' => 'IB_PORT_CAPMASK_F', + 'value' => '31' + }, + '320' => { + 'name' => 'IB_SA_GIR_LID_F', + 'value' => '313' + }, + '321' => { + 'name' => 'IB_SA_GIR_BLOCKNUM_F', + 'value' => '314' + }, + '322' => { + 'name' => 'IB_SA_GIR_GUID0_F', + 'value' => '315' + }, + '323' => { + 'name' => 'IB_SA_GIR_GUID1_F', + 'value' => '316' + }, + '324' => { + 'name' => 'IB_SA_GIR_GUID2_F', + 'value' => '317' + }, + '325' => { + 'name' => 'IB_SA_GIR_GUID3_F', + 'value' => '318' + }, + '326' => { + 'name' => 'IB_SA_GIR_GUID4_F', + 'value' => '319' + }, + '327' => { + 'name' => 'IB_SA_GIR_GUID5_F', + 'value' => '320' + }, + '328' => { + 'name' => 'IB_SA_GIR_GUID6_F', + 'value' => '321' + }, + '329' => { + 'name' => 'IB_SA_GIR_GUID7_F', + 'value' => '322' + }, + '33' => { + 'name' => 'IB_PORT_DIAG_F', + 'value' => '32' + }, + '330' => { + 'name' => 'IB_PORT_CAPMASK2_F', + 'value' => '323' + }, + '331' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ACTIVE_F', + 'value' => '324' + }, + '332' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_SUPPORTED_F', + 'value' => '325' + }, + '333' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ENABLED_F', + 'value' => '326' + }, + '334' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_LAST_F', + 'value' => '327' + }, + '335' => { + 'name' => 'IB_PESC_PORT_SELECT_F', + 'value' => '328' + }, + '336' => { + 'name' => 'IB_PESC_COUNTER_SELECT_F', + 'value' => '329' + }, + '337' => { + 'name' => 'IB_PESC_SYNC_HDR_ERR_CTR_F', + 'value' => '330' + }, + '338' => { + 'name' => 'IB_PESC_UNK_BLOCK_CTR_F', + 'value' => '331' + }, + '339' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE0_F', + 'value' => '332' + }, + '34' => { + 'name' => 'IB_PORT_MKEY_LEASE_F', + 'value' => '33' + }, + '340' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE1_F', + 'value' => '333' + }, + '341' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE2_F', + 'value' => '334' + }, + '342' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE3_F', + 'value' => '335' + }, + '343' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE4_F', + 'value' => '336' + }, + '344' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE5_F', + 'value' => '337' + }, + '345' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE6_F', + 'value' => '338' + }, + '346' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE7_F', + 'value' => '339' + }, + '347' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE8_F', + 'value' => '340' + }, + '348' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE9_F', + 'value' => '341' + }, + '349' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE10_F', + 'value' => '342' + }, + '35' => { + 'name' => 'IB_PORT_LOCAL_PORT_F', + 'value' => '34' + }, + '350' => { + 'name' => 'IB_PESC_ERR_DET_CTR_LANE11_F', + 'value' => '343' + }, + '351' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE0_F', + 'value' => '344' + }, + '352' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE1_F', + 'value' => '345' + }, + '353' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE2_F', + 'value' => '346' + }, + '354' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE3_F', + 'value' => '347' + }, + '355' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE4_F', + 'value' => '348' + }, + '356' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE5_F', + 'value' => '349' + }, + '357' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE6_F', + 'value' => '350' + }, + '358' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE7_F', + 'value' => '351' + }, + '359' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE8_F', + 'value' => '352' + }, + '36' => { + 'name' => 'IB_PORT_LINK_WIDTH_ENABLED_F', + 'value' => '35' + }, + '360' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE9_F', + 'value' => '353' + }, + '361' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE10_F', + 'value' => '354' + }, + '362' => { + 'name' => 'IB_PESC_FEC_CORR_BLOCK_CTR_LANE11_F', + 'value' => '355' + }, + '363' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE0_F', + 'value' => '356' + }, + '364' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE1_F', + 'value' => '357' + }, + '365' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE2_F', + 'value' => '358' + }, + '366' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE3_F', + 'value' => '359' + }, + '367' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE4_F', + 'value' => '360' + }, + '368' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE5_F', + 'value' => '361' + }, + '369' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE6_F', + 'value' => '362' + }, + '37' => { + 'name' => 'IB_PORT_LINK_WIDTH_SUPPORTED_F', + 'value' => '36' + }, + '370' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE7_F', + 'value' => '363' + }, + '371' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE8_F', + 'value' => '364' + }, + '372' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE9_F', + 'value' => '365' + }, + '373' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE10_F', + 'value' => '366' + }, + '374' => { + 'name' => 'IB_PESC_FEC_UNCORR_BLOCK_CTR_LANE11_F', + 'value' => '367' + }, + '375' => { + 'name' => 'IB_PESC_LAST_F', + 'value' => '368' + }, + '376' => { + 'name' => 'IB_PC_PORT_OP_RCV_COUNTERS_FIRST_F', + 'value' => '369' + }, + '377' => { + 'name' => 'IB_PC_PORT_OP_RCV_PKTS_F', + 'value' => '369' + }, + '378' => { + 'name' => 'IB_PC_PORT_OP_RCV_DATA_F', + 'value' => '370' + }, + '379' => { + 'name' => 'IB_PC_PORT_OP_RCV_COUNTERS_LAST_F', + 'value' => '371' + }, + '38' => { + 'name' => 'IB_PORT_LINK_WIDTH_ACTIVE_F', + 'value' => '37' + }, + '380' => { + 'name' => 'IB_PC_PORT_FLOW_CTL_COUNTERS_FIRST_F', + 'value' => '372' + }, + '381' => { + 'name' => 'IB_PC_PORT_XMIT_FLOW_PKTS_F', + 'value' => '372' + }, + '382' => { + 'name' => 'IB_PC_PORT_RCV_FLOW_PKTS_F', + 'value' => '373' + }, + '383' => { + 'name' => 'IB_PC_PORT_FLOW_CTL_COUNTERS_LAST_F', + 'value' => '374' + }, + '384' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS_FIRST_F', + 'value' => '375' + }, + '385' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS0_F', + 'value' => '375' + }, + '386' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS1_F', + 'value' => '376' + }, + '387' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS2_F', + 'value' => '377' + }, + '388' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS3_F', + 'value' => '378' + }, + '389' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS4_F', + 'value' => '379' + }, + '39' => { + 'name' => 'IB_PORT_LINK_SPEED_SUPPORTED_F', + 'value' => '38' + }, + '390' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS5_F', + 'value' => '380' + }, + '391' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS6_F', + 'value' => '381' + }, + '392' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS7_F', + 'value' => '382' + }, + '393' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS8_F', + 'value' => '383' + }, + '394' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS9_F', + 'value' => '384' + }, + '395' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS10_F', + 'value' => '385' + }, + '396' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS11_F', + 'value' => '386' + }, + '397' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS12_F', + 'value' => '387' + }, + '398' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS13_F', + 'value' => '388' + }, + '399' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS14_F', + 'value' => '389' + }, + '4' => { + 'name' => 'IB_MAD_RESPONSE_F', + 'value' => '4' + }, + '40' => { + 'name' => 'IB_PORT_STATE_F', + 'value' => '39' + }, + '400' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS15_F', + 'value' => '390' + }, + '401' => { + 'name' => 'IB_PC_PORT_VL_OP_PACKETS_LAST_F', + 'value' => '391' + }, + '402' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA_FIRST_F', + 'value' => '392' + }, + '403' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA0_F', + 'value' => '392' + }, + '404' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA1_F', + 'value' => '393' + }, + '405' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA2_F', + 'value' => '394' + }, + '406' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA3_F', + 'value' => '395' + }, + '407' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA4_F', + 'value' => '396' + }, + '408' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA5_F', + 'value' => '397' + }, + '409' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA6_F', + 'value' => '398' + }, + '41' => { + 'name' => 'IB_PORT_PHYS_STATE_F', + 'value' => '40' + }, + '410' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA7_F', + 'value' => '399' + }, + '411' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA8_F', + 'value' => '400' + }, + '412' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA9_F', + 'value' => '401' + }, + '413' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA10_F', + 'value' => '402' + }, + '414' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA11_F', + 'value' => '403' + }, + '415' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA12_F', + 'value' => '404' + }, + '416' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA13_F', + 'value' => '405' + }, + '417' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA14_F', + 'value' => '406' + }, + '418' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA15_F', + 'value' => '407' + }, + '419' => { + 'name' => 'IB_PC_PORT_VL_OP_DATA_LAST_F', + 'value' => '408' + }, + '42' => { + 'name' => 'IB_PORT_LINK_DOWN_DEF_F', + 'value' => '41' + }, + '420' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS_FIRST_F', + 'value' => '409' + }, + '421' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS0_F', + 'value' => '409' + }, + '422' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS1_F', + 'value' => '410' + }, + '423' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS2_F', + 'value' => '411' + }, + '424' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS3_F', + 'value' => '412' + }, + '425' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS4_F', + 'value' => '413' + }, + '426' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS5_F', + 'value' => '414' + }, + '427' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS6_F', + 'value' => '415' + }, + '428' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS7_F', + 'value' => '416' + }, + '429' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS8_F', + 'value' => '417' + }, + '43' => { + 'name' => 'IB_PORT_MKEY_PROT_BITS_F', + 'value' => '42' + }, + '430' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS9_F', + 'value' => '418' + }, + '431' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS10_F', + 'value' => '419' + }, + '432' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS11_F', + 'value' => '420' + }, + '433' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS12_F', + 'value' => '421' + }, + '434' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS13_F', + 'value' => '422' + }, + '435' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS14_F', + 'value' => '423' + }, + '436' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS15_F', + 'value' => '424' + }, + '437' => { + 'name' => 'IB_PC_PORT_VL_XMIT_FLOW_CTL_UPDATE_ERRORS_LAST_F', + 'value' => '425' + }, + '438' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT_COUNTERS_FIRST_F', + 'value' => '426' + }, + '439' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT0_F', + 'value' => '426' + }, + '44' => { + 'name' => 'IB_PORT_LMC_F', + 'value' => '43' + }, + '440' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT1_F', + 'value' => '427' + }, + '441' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT2_F', + 'value' => '428' + }, + '442' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT3_F', + 'value' => '429' + }, + '443' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT4_F', + 'value' => '430' + }, + '444' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT5_F', + 'value' => '431' + }, + '445' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT6_F', + 'value' => '432' + }, + '446' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT7_F', + 'value' => '433' + }, + '447' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT8_F', + 'value' => '434' + }, + '448' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT9_F', + 'value' => '435' + }, + '449' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT10_F', + 'value' => '436' + }, + '45' => { + 'name' => 'IB_PORT_LINK_SPEED_ACTIVE_F', + 'value' => '44' + }, + '450' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT11_F', + 'value' => '437' + }, + '451' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT12_F', + 'value' => '438' + }, + '452' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT13_F', + 'value' => '439' + }, + '453' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT14_F', + 'value' => '440' + }, + '454' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT15_F', + 'value' => '441' + }, + '455' => { + 'name' => 'IB_PC_PORT_VL_XMIT_WAIT_COUNTERS_LAST_F', + 'value' => '442' + }, + '456' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION_FIRST_F', + 'value' => '443' + }, + '457' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION0_F', + 'value' => '443' + }, + '458' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION1_F', + 'value' => '444' + }, + '459' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION2_F', + 'value' => '445' + }, + '46' => { + 'name' => 'IB_PORT_LINK_SPEED_ENABLED_F', + 'value' => '45' + }, + '460' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION3_F', + 'value' => '446' + }, + '461' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION4_F', + 'value' => '447' + }, + '462' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION5_F', + 'value' => '448' + }, + '463' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION6_F', + 'value' => '449' + }, + '464' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION7_F', + 'value' => '450' + }, + '465' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION8_F', + 'value' => '451' + }, + '466' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION9_F', + 'value' => '452' + }, + '467' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION10_F', + 'value' => '453' + }, + '468' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION11_F', + 'value' => '454' + }, + '469' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION12_F', + 'value' => '455' + }, + '47' => { + 'name' => 'IB_PORT_NEIGHBOR_MTU_F', + 'value' => '46' + }, + '470' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION13_F', + 'value' => '456' + }, + '471' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION14_F', + 'value' => '457' + }, + '472' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION15_F', + 'value' => '458' + }, + '473' => { + 'name' => 'IB_PC_SW_PORT_VL_CONGESTION_LAST_F', + 'value' => '459' + }, + '474' => { + 'name' => 'IB_PC_RCV_CON_CTRL_FIRST_F', + 'value' => '460' + }, + '475' => { + 'name' => 'IB_PC_RCV_CON_CTRL_PKT_RCV_FECN_F', + 'value' => '460' + }, + '476' => { + 'name' => 'IB_PC_RCV_CON_CTRL_PKT_RCV_BECN_F', + 'value' => '461' + }, + '477' => { + 'name' => 'IB_PC_RCV_CON_CTRL_LAST_F', + 'value' => '462' + }, + '478' => { + 'name' => 'IB_PC_SL_RCV_FECN_FIRST_F', + 'value' => '463' + }, + '479' => { + 'name' => 'IB_PC_SL_RCV_FECN0_F', + 'value' => '463' + }, + '48' => { + 'name' => 'IB_PORT_SMSL_F', + 'value' => '47' + }, + '480' => { + 'name' => 'IB_PC_SL_RCV_FECN1_F', + 'value' => '464' + }, + '481' => { + 'name' => 'IB_PC_SL_RCV_FECN2_F', + 'value' => '465' + }, + '482' => { + 'name' => 'IB_PC_SL_RCV_FECN3_F', + 'value' => '466' + }, + '483' => { + 'name' => 'IB_PC_SL_RCV_FECN4_F', + 'value' => '467' + }, + '484' => { + 'name' => 'IB_PC_SL_RCV_FECN5_F', + 'value' => '468' + }, + '485' => { + 'name' => 'IB_PC_SL_RCV_FECN6_F', + 'value' => '469' + }, + '486' => { + 'name' => 'IB_PC_SL_RCV_FECN7_F', + 'value' => '470' + }, + '487' => { + 'name' => 'IB_PC_SL_RCV_FECN8_F', + 'value' => '471' + }, + '488' => { + 'name' => 'IB_PC_SL_RCV_FECN9_F', + 'value' => '472' + }, + '489' => { + 'name' => 'IB_PC_SL_RCV_FECN10_F', + 'value' => '473' + }, + '49' => { + 'name' => 'IB_PORT_VL_CAP_F', + 'value' => '48' + }, + '490' => { + 'name' => 'IB_PC_SL_RCV_FECN11_F', + 'value' => '474' + }, + '491' => { + 'name' => 'IB_PC_SL_RCV_FECN12_F', + 'value' => '475' + }, + '492' => { + 'name' => 'IB_PC_SL_RCV_FECN13_F', + 'value' => '476' + }, + '493' => { + 'name' => 'IB_PC_SL_RCV_FECN14_F', + 'value' => '477' + }, + '494' => { + 'name' => 'IB_PC_SL_RCV_FECN15_F', + 'value' => '478' + }, + '495' => { + 'name' => 'IB_PC_SL_RCV_FECN_LAST_F', + 'value' => '479' + }, + '496' => { + 'name' => 'IB_PC_SL_RCV_BECN_FIRST_F', + 'value' => '480' + }, + '497' => { + 'name' => 'IB_PC_SL_RCV_BECN0_F', + 'value' => '480' + }, + '498' => { + 'name' => 'IB_PC_SL_RCV_BECN1_F', + 'value' => '481' + }, + '499' => { + 'name' => 'IB_PC_SL_RCV_BECN2_F', + 'value' => '482' + }, + '5' => { + 'name' => 'IB_MAD_CLASSVER_F', + 'value' => '5' + }, + '50' => { + 'name' => 'IB_PORT_INIT_TYPE_F', + 'value' => '49' + }, + '500' => { + 'name' => 'IB_PC_SL_RCV_BECN3_F', + 'value' => '483' + }, + '501' => { + 'name' => 'IB_PC_SL_RCV_BECN4_F', + 'value' => '484' + }, + '502' => { + 'name' => 'IB_PC_SL_RCV_BECN5_F', + 'value' => '485' + }, + '503' => { + 'name' => 'IB_PC_SL_RCV_BECN6_F', + 'value' => '486' + }, + '504' => { + 'name' => 'IB_PC_SL_RCV_BECN7_F', + 'value' => '487' + }, + '505' => { + 'name' => 'IB_PC_SL_RCV_BECN8_F', + 'value' => '488' + }, + '506' => { + 'name' => 'IB_PC_SL_RCV_BECN9_F', + 'value' => '489' + }, + '507' => { + 'name' => 'IB_PC_SL_RCV_BECN10_F', + 'value' => '490' + }, + '508' => { + 'name' => 'IB_PC_SL_RCV_BECN11_F', + 'value' => '491' + }, + '509' => { + 'name' => 'IB_PC_SL_RCV_BECN12_F', + 'value' => '492' + }, + '51' => { + 'name' => 'IB_PORT_VL_HIGH_LIMIT_F', + 'value' => '50' + }, + '510' => { + 'name' => 'IB_PC_SL_RCV_BECN13_F', + 'value' => '493' + }, + '511' => { + 'name' => 'IB_PC_SL_RCV_BECN14_F', + 'value' => '494' + }, + '512' => { + 'name' => 'IB_PC_SL_RCV_BECN15_F', + 'value' => '495' + }, + '513' => { + 'name' => 'IB_PC_SL_RCV_BECN_LAST_F', + 'value' => '496' + }, + '514' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_FIRST_F', + 'value' => '497' + }, + '515' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_TIME_CONG_F', + 'value' => '497' + }, + '516' => { + 'name' => 'IB_PC_XMIT_CON_CTRL_LAST_F', + 'value' => '498' + }, + '517' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG_FIRST_F', + 'value' => '499' + }, + '518' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG0_F', + 'value' => '499' + }, + '519' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG1_F', + 'value' => '500' + }, + '52' => { + 'name' => 'IB_PORT_VL_ARBITRATION_HIGH_CAP_F', + 'value' => '51' + }, + '520' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG2_F', + 'value' => '501' + }, + '521' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG3_F', + 'value' => '502' + }, + '522' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG4_F', + 'value' => '503' + }, + '523' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG5_F', + 'value' => '504' + }, + '524' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG6_F', + 'value' => '505' + }, + '525' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG7_F', + 'value' => '506' + }, + '526' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG8_F', + 'value' => '507' + }, + '527' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG9_F', + 'value' => '508' + }, + '528' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG10_F', + 'value' => '509' + }, + '529' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG11_F', + 'value' => '510' + }, + '53' => { + 'name' => 'IB_PORT_VL_ARBITRATION_LOW_CAP_F', + 'value' => '52' + }, + '530' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG12_F', + 'value' => '511' + }, + '531' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG13_F', + 'value' => '512' + }, + '532' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG14_F', + 'value' => '513' + }, + '533' => { + 'name' => 'IB_PC_VL_XMIT_TIME_CONG_LAST_F', + 'value' => '514' + }, + '534' => { + 'name' => 'IB_MLNX_EXT_PORT_STATE_CHG_ENABLE_F', + 'value' => '515' + }, + '535' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_SUPPORTED_F', + 'value' => '516' + }, + '536' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_ENABLED_F', + 'value' => '517' + }, + '537' => { + 'name' => 'IB_MLNX_EXT_PORT_LINK_SPEED_ACTIVE_F', + 'value' => '518' + }, + '538' => { + 'name' => 'IB_MLNX_EXT_PORT_LAST_F', + 'value' => '519' + }, + '539' => { + 'name' => 'IB_CC_CCKEY_F', + 'value' => '520' + }, + '54' => { + 'name' => 'IB_PORT_INIT_TYPE_REPLY_F', + 'value' => '53' + }, + '540' => { + 'name' => 'IB_CC_CONGESTION_INFO_FIRST_F', + 'value' => '521' + }, + '541' => { + 'name' => 'IB_CC_CONGESTION_INFO_F', + 'value' => '521' + }, + '542' => { + 'name' => 'IB_CC_CONGESTION_INFO_CONTROL_TABLE_CAP_F', + 'value' => '522' + }, + '543' => { + 'name' => 'IB_CC_CONGESTION_INFO_LAST_F', + 'value' => '523' + }, + '544' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_FIRST_F', + 'value' => '524' + }, + '545' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_F', + 'value' => '524' + }, + '546' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_PROTECT_BIT_F', + 'value' => '525' + }, + '547' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_LEASE_PERIOD_F', + 'value' => '526' + }, + '548' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_CC_KEY_VIOLATIONS_F', + 'value' => '527' + }, + '549' => { + 'name' => 'IB_CC_CONGESTION_KEY_INFO_LAST_F', + 'value' => '528' + }, + '55' => { + 'name' => 'IB_PORT_MTU_CAP_F', + 'value' => '54' + }, + '550' => { + 'name' => 'IB_CC_CONGESTION_LOG_FIRST_F', + 'value' => '529' + }, + '551' => { + 'name' => 'IB_CC_CONGESTION_LOG_LOGTYPE_F', + 'value' => '529' + }, + '552' => { + 'name' => 'IB_CC_CONGESTION_LOG_CONGESTION_FLAGS_F', + 'value' => '530' + }, + '553' => { + 'name' => 'IB_CC_CONGESTION_LOG_LAST_F', + 'value' => '531' + }, + '554' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_FIRST_F', + 'value' => '532' + }, + '555' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_LOG_EVENTS_COUNTER_F', + 'value' => '532' + }, + '556' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_CURRENT_TIME_STAMP_F', + 'value' => '533' + }, + '557' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_PORTMAP_F', + 'value' => '534' + }, + '558' => { + 'name' => 'IB_CC_CONGESTION_LOG_SWITCH_LAST_F', + 'value' => '535' + }, + '559' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_FIRST_F', + 'value' => '536' + }, + '56' => { + 'name' => 'IB_PORT_VL_STALL_COUNT_F', + 'value' => '55' + }, + '560' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_SLID_F', + 'value' => '536' + }, + '561' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_DLID_F', + 'value' => '537' + }, + '562' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_SL_F', + 'value' => '538' + }, + '563' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_TIMESTAMP_F', + 'value' => '539' + }, + '564' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_SWITCH_LAST_F', + 'value' => '540' + }, + '565' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_FIRST_F', + 'value' => '541' + }, + '566' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_THRESHOLD_EVENT_COUNTER_F', + 'value' => '541' + }, + '567' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_THRESHOLD_CONGESTION_EVENT_MAP_F', + 'value' => '542' + }, + '568' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_CURRENT_TIMESTAMP_F', + 'value' => '543' + }, + '569' => { + 'name' => 'IB_CC_CONGESTION_LOG_CA_LAST_F', + 'value' => '544' + }, + '57' => { + 'name' => 'IB_PORT_HOQ_LIFE_F', + 'value' => '56' + }, + '570' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_FIRST_F', + 'value' => '545' + }, + '571' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LOCAL_QP_CN_ENTRY_F', + 'value' => '545' + }, + '572' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_SL_CN_ENTRY_F', + 'value' => '546' + }, + '573' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_SERVICE_TYPE_CN_ENTRY_F', + 'value' => '547' + }, + '574' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_REMOTE_QP_NUMBER_CN_ENTRY_F', + 'value' => '548' + }, + '575' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LOCAL_LID_CN_F', + 'value' => '549' + }, + '576' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_REMOTE_LID_CN_ENTRY_F', + 'value' => '550' + }, + '577' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_TIMESTAMP_CN_ENTRY_F', + 'value' => '551' + }, + '578' => { + 'name' => 'IB_CC_CONGESTION_LOG_ENTRY_CA_LAST_F', + 'value' => '552' + }, + '579' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_FIRST_F', + 'value' => '553' + }, + '58' => { + 'name' => 'IB_PORT_OPER_VLS_F', + 'value' => '57' + }, + '580' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CONTROL_MAP_F', + 'value' => '553' + }, + '581' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_VICTIM_MASK_F', + 'value' => '554' + }, + '582' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CREDIT_MASK_F', + 'value' => '555' + }, + '583' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_THRESHOLD_F', + 'value' => '556' + }, + '584' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_PACKET_SIZE_F', + 'value' => '557' + }, + '585' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CS_THRESHOLD_F', + 'value' => '558' + }, + '586' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_CS_RETURN_DELAY_F', + 'value' => '559' + }, + '587' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_MARKING_RATE_F', + 'value' => '560' + }, + '588' => { + 'name' => 'IB_CC_SWITCH_CONGESTION_SETTING_LAST_F', + 'value' => '561' + }, + '589' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_FIRST_F', + 'value' => '562' + }, + '59' => { + 'name' => 'IB_PORT_PART_EN_INB_F', + 'value' => '58' + }, + '590' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_VALID_F', + 'value' => '562' + }, + '591' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_CONTROL_TYPE_F', + 'value' => '563' + }, + '592' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_THRESHOLD_F', + 'value' => '564' + }, + '593' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_PACKET_SIZE_F', + 'value' => '565' + }, + '594' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_CONG_PARM_MARKING_RATE_F', + 'value' => '566' + }, + '595' => { + 'name' => 'IB_CC_SWITCH_PORT_CONGESTION_SETTING_ELEMENT_LAST_F', + 'value' => '567' + }, + '596' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_FIRST_F', + 'value' => '568' + }, + '597' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_PORT_CONTROL_F', + 'value' => '568' + }, + '598' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_CONTROL_MAP_F', + 'value' => '569' + }, + '599' => { + 'name' => 'IB_CC_CA_CONGESTION_SETTING_LAST_F', + 'value' => '570' + }, + '6' => { + 'name' => 'IB_MAD_MGMTCLASS_F', + 'value' => '6' + }, + '60' => { + 'name' => 'IB_PORT_PART_EN_OUTB_F', + 'value' => '59' + }, + '600' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_FIRST_F', + 'value' => '571' + }, + '601' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_TIMER_F', + 'value' => '571' + }, + '602' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_INCREASE_F', + 'value' => '572' + }, + '603' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_TRIGGER_THRESHOLD_F', + 'value' => '573' + }, + '604' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_CCTI_MIN_F', + 'value' => '574' + }, + '605' => { + 'name' => 'IB_CC_CA_CONGESTION_ENTRY_LAST_F', + 'value' => '575' + }, + '606' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_FIRST_F', + 'value' => '576' + }, + '607' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_CCTI_LIMIT_F', + 'value' => '576' + }, + '608' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_LAST_F', + 'value' => '577' + }, + '609' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_FIRST_F', + 'value' => '578' + }, + '61' => { + 'name' => 'IB_PORT_FILTER_RAW_INB_F', + 'value' => '60' + }, + '610' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_CCT_SHIFT_F', + 'value' => '578' + }, + '611' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_CCT_MULTIPLIER_F', + 'value' => '579' + }, + '612' => { + 'name' => 'IB_CC_CONGESTION_CONTROL_TABLE_ENTRY_LAST_F', + 'value' => '580' + }, + '613' => { + 'name' => 'IB_CC_TIMESTAMP_FIRST_F', + 'value' => '581' + }, + '614' => { + 'name' => 'IB_CC_TIMESTAMP_F', + 'value' => '581' + }, + '615' => { + 'name' => 'IB_CC_TIMESTAMP_LAST_F', + 'value' => '582' + }, + '616' => { + 'name' => 'IB_SA_NR_FIRST_F', + 'value' => '583' + }, + '617' => { + 'name' => 'IB_SA_NR_LID_F', + 'value' => '583' + }, + '618' => { + 'name' => 'IB_SA_NR_BASEVER_F', + 'value' => '584' + }, + '619' => { + 'name' => 'IB_SA_NR_CLASSVER_F', + 'value' => '585' + }, + '62' => { + 'name' => 'IB_PORT_FILTER_RAW_OUTB_F', + 'value' => '61' + }, + '620' => { + 'name' => 'IB_SA_NR_TYPE_F', + 'value' => '586' + }, + '621' => { + 'name' => 'IB_SA_NR_NPORTS_F', + 'value' => '587' + }, + '622' => { + 'name' => 'IB_SA_NR_SYSTEM_GUID_F', + 'value' => '588' + }, + '623' => { + 'name' => 'IB_SA_NR_GUID_F', + 'value' => '589' + }, + '624' => { + 'name' => 'IB_SA_NR_PORT_GUID_F', + 'value' => '590' + }, + '625' => { + 'name' => 'IB_SA_NR_PARTITION_CAP_F', + 'value' => '591' + }, + '626' => { + 'name' => 'IB_SA_NR_DEVID_F', + 'value' => '592' + }, + '627' => { + 'name' => 'IB_SA_NR_REVISION_F', + 'value' => '593' + }, + '628' => { + 'name' => 'IB_SA_NR_LOCAL_PORT_F', + 'value' => '594' + }, + '629' => { + 'name' => 'IB_SA_NR_VENDORID_F', + 'value' => '595' + }, + '63' => { + 'name' => 'IB_PORT_MKEY_VIOL_F', + 'value' => '62' + }, + '630' => { + 'name' => 'IB_SA_NR_NODEDESC_F', + 'value' => '596' + }, + '631' => { + 'name' => 'IB_SA_NR_LAST_F', + 'value' => '597' + }, + '632' => { + 'name' => 'IB_PSR_TAG_F', + 'value' => '598' + }, + '633' => { + 'name' => 'IB_PSR_SAMPLE_STATUS_F', + 'value' => '599' + }, + '634' => { + 'name' => 'IB_PSR_COUNTER0_F', + 'value' => '600' + }, + '635' => { + 'name' => 'IB_PSR_COUNTER1_F', + 'value' => '601' + }, + '636' => { + 'name' => 'IB_PSR_COUNTER2_F', + 'value' => '602' + }, + '637' => { + 'name' => 'IB_PSR_COUNTER3_F', + 'value' => '603' + }, + '638' => { + 'name' => 'IB_PSR_COUNTER4_F', + 'value' => '604' + }, + '639' => { + 'name' => 'IB_PSR_COUNTER5_F', + 'value' => '605' + }, + '64' => { + 'name' => 'IB_PORT_PKEY_VIOL_F', + 'value' => '63' + }, + '640' => { + 'name' => 'IB_PSR_COUNTER6_F', + 'value' => '606' + }, + '641' => { + 'name' => 'IB_PSR_COUNTER7_F', + 'value' => '607' + }, + '642' => { + 'name' => 'IB_PSR_COUNTER8_F', + 'value' => '608' + }, + '643' => { + 'name' => 'IB_PSR_COUNTER9_F', + 'value' => '609' + }, + '644' => { + 'name' => 'IB_PSR_COUNTER10_F', + 'value' => '610' + }, + '645' => { + 'name' => 'IB_PSR_COUNTER11_F', + 'value' => '611' + }, + '646' => { + 'name' => 'IB_PSR_COUNTER12_F', + 'value' => '612' + }, + '647' => { + 'name' => 'IB_PSR_COUNTER13_F', + 'value' => '613' + }, + '648' => { + 'name' => 'IB_PSR_COUNTER14_F', + 'value' => '614' + }, + '649' => { + 'name' => 'IB_PSR_LAST_F', + 'value' => '615' + }, + '65' => { + 'name' => 'IB_PORT_QKEY_VIOL_F', + 'value' => '64' + }, + '650' => { + 'name' => 'IB_PORT_EXT_FIRST_F', + 'value' => '616' + }, + '651' => { + 'name' => 'IB_PORT_EXT_CAPMASK_F', + 'value' => '616' + }, + '652' => { + 'name' => 'IB_PORT_EXT_FEC_MODE_ACTIVE_F', + 'value' => '617' + }, + '653' => { + 'name' => 'IB_PORT_EXT_FDR_FEC_MODE_SUPPORTED_F', + 'value' => '618' + }, + '654' => { + 'name' => 'IB_PORT_EXT_FDR_FEC_MODE_ENABLED_F', + 'value' => '619' + }, + '655' => { + 'name' => 'IB_PORT_EXT_EDR_FEC_MODE_SUPPORTED_F', + 'value' => '620' + }, + '656' => { + 'name' => 'IB_PORT_EXT_EDR_FEC_MODE_ENABLED_F', + 'value' => '621' + }, + '657' => { + 'name' => 'IB_PORT_EXT_LAST_F', + 'value' => '622' + }, + '658' => { + 'name' => 'IB_PESC_RSFEC_FIRST_F', + 'value' => '623' + }, + '659' => { + 'name' => 'IB_PESC_RSFEC_PORT_SELECT_F', + 'value' => '623' + }, + '66' => { + 'name' => 'IB_PORT_GUID_CAP_F', + 'value' => '65' + }, + '660' => { + 'name' => 'IB_PESC_RSFEC_COUNTER_SELECT_F', + 'value' => '624' + }, + '661' => { + 'name' => 'IB_PESC_RSFEC_SYNC_HDR_ERR_CTR_F', + 'value' => '625' + }, + '662' => { + 'name' => 'IB_PESC_RSFEC_UNK_BLOCK_CTR_F', + 'value' => '626' + }, + '663' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE0_F', + 'value' => '627' + }, + '664' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE1_F', + 'value' => '628' + }, + '665' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE2_F', + 'value' => '629' + }, + '666' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE3_F', + 'value' => '630' + }, + '667' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE4_F', + 'value' => '631' + }, + '668' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE5_F', + 'value' => '632' + }, + '669' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE6_F', + 'value' => '633' + }, + '67' => { + 'name' => 'IB_PORT_CLIENT_REREG_F', + 'value' => '66' + }, + '670' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE7_F', + 'value' => '634' + }, + '671' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE8_F', + 'value' => '635' + }, + '672' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE9_F', + 'value' => '636' + }, + '673' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE10_F', + 'value' => '637' + }, + '674' => { + 'name' => 'IB_PESC_RSFEC_FEC_CORR_SYMBOL_CTR_LANE11_F', + 'value' => '638' + }, + '675' => { + 'name' => 'IB_PESC_PORT_FEC_CORR_BLOCK_CTR_F', + 'value' => '639' + }, + '676' => { + 'name' => 'IB_PESC_PORT_FEC_UNCORR_BLOCK_CTR_F', + 'value' => '640' + }, + '677' => { + 'name' => 'IB_PESC_PORT_FEC_CORR_SYMBOL_CTR_F', + 'value' => '641' + }, + '678' => { + 'name' => 'IB_PESC_RSFEC_LAST_F', + 'value' => '642' + }, + '679' => { + 'name' => 'IB_PC_EXT_COUNTER_SELECT2_F', + 'value' => '643' + }, + '68' => { + 'name' => 'IB_PORT_MCAST_PKEY_SUPR_ENAB_F', + 'value' => '67' + }, + '680' => { + 'name' => 'IB_PC_EXT_ERR_SYM_F', + 'value' => '644' + }, + '681' => { + 'name' => 'IB_PC_EXT_LINK_RECOVERS_F', + 'value' => '645' + }, + '682' => { + 'name' => 'IB_PC_EXT_LINK_DOWNED_F', + 'value' => '646' + }, + '683' => { + 'name' => 'IB_PC_EXT_ERR_RCV_F', + 'value' => '647' + }, + '684' => { + 'name' => 'IB_PC_EXT_ERR_PHYSRCV_F', + 'value' => '648' + }, + '685' => { + 'name' => 'IB_PC_EXT_ERR_SWITCH_REL_F', + 'value' => '649' + }, + '686' => { + 'name' => 'IB_PC_EXT_XMT_DISCARDS_F', + 'value' => '650' + }, + '687' => { + 'name' => 'IB_PC_EXT_ERR_XMTCONSTR_F', + 'value' => '651' + }, + '688' => { + 'name' => 'IB_PC_EXT_ERR_RCVCONSTR_F', + 'value' => '652' + }, + '689' => { + 'name' => 'IB_PC_EXT_ERR_LOCALINTEG_F', + 'value' => '653' + }, + '69' => { + 'name' => 'IB_PORT_SUBN_TIMEOUT_F', + 'value' => '68' + }, + '690' => { + 'name' => 'IB_PC_EXT_ERR_EXCESS_OVR_F', + 'value' => '654' + }, + '691' => { + 'name' => 'IB_PC_EXT_VL15_DROPPED_F', + 'value' => '655' + }, + '692' => { + 'name' => 'IB_PC_EXT_XMT_WAIT_F', + 'value' => '656' + }, + '693' => { + 'name' => 'IB_PC_EXT_QP1_DROP_F', + 'value' => '657' + }, + '694' => { + 'name' => 'IB_PC_EXT_ERR_LAST_F', + 'value' => '658' + }, + '695' => { + 'name' => 'IB_PC_QP1_DROP_F', + 'value' => '659' + }, + '696' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_SUPPORTED_F', + 'value' => '660' + }, + '697' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_ENABLED_F', + 'value' => '661' + }, + '698' => { + 'name' => 'IB_PORT_EXT_HDR_FEC_MODE_LAST_F', + 'value' => '662' + }, + '699' => { + 'name' => 'IB_PORT_EXT_NDR_FEC_MODE_SUPPORTED_F', + 'value' => '663' + }, + '7' => { + 'name' => 'IB_MAD_BASEVER_F', + 'value' => '7' + }, + '70' => { + 'name' => 'IB_PORT_RESP_TIME_VAL_F', + 'value' => '69' + }, + '700' => { + 'name' => 'IB_PORT_EXT_NDR_FEC_MODE_ENABLED_F', + 'value' => '664' + }, + '701' => { + 'name' => 'IB_PORT_EXT_NDR_FEC_MODE_LAST_F', + 'value' => '665' + }, + '702' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ACTIVE_2_F', + 'value' => '666' + }, + '703' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_SUPPORTED_2_F', + 'value' => '667' + }, + '704' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_ENABLED_2_F', + 'value' => '668' + }, + '705' => { + 'name' => 'IB_PORT_LINK_SPEED_EXT_2_LAST_F', + 'value' => '669' + }, + '706' => { + 'name' => 'IB_FIELD_LAST_', + 'value' => '670' + }, + '71' => { + 'name' => 'IB_PORT_LOCAL_PHYS_ERR_F', + 'value' => '70' + }, + '72' => { + 'name' => 'IB_PORT_OVERRUN_ERR_F', + 'value' => '71' + }, + '73' => { + 'name' => 'IB_PORT_MAX_CREDIT_HINT_F', + 'value' => '72' + }, + '74' => { + 'name' => 'IB_PORT_LINK_ROUND_TRIP_F', + 'value' => '73' + }, + '75' => { + 'name' => 'IB_PORT_LAST_F', + 'value' => '74' + }, + '76' => { + 'name' => 'IB_NODE_FIRST_F', + 'value' => '75' + }, + '77' => { + 'name' => 'IB_NODE_BASE_VERS_F', + 'value' => '75' + }, + '78' => { + 'name' => 'IB_NODE_CLASS_VERS_F', + 'value' => '76' + }, + '79' => { + 'name' => 'IB_NODE_TYPE_F', + 'value' => '77' + }, + '8' => { + 'name' => 'IB_MAD_STATUS_F', + 'value' => '8' + }, + '80' => { + 'name' => 'IB_NODE_NPORTS_F', + 'value' => '78' + }, + '81' => { + 'name' => 'IB_NODE_SYSTEM_GUID_F', + 'value' => '79' + }, + '82' => { + 'name' => 'IB_NODE_GUID_F', + 'value' => '80' + }, + '83' => { + 'name' => 'IB_NODE_PORT_GUID_F', + 'value' => '81' + }, + '84' => { + 'name' => 'IB_NODE_PARTITION_CAP_F', + 'value' => '82' + }, + '85' => { + 'name' => 'IB_NODE_DEVID_F', + 'value' => '83' + }, + '86' => { + 'name' => 'IB_NODE_REVISION_F', + 'value' => '84' + }, + '87' => { + 'name' => 'IB_NODE_LOCAL_PORT_F', + 'value' => '85' + }, + '88' => { + 'name' => 'IB_NODE_VENDORID_F', + 'value' => '86' + }, + '89' => { + 'name' => 'IB_NODE_LAST_F', + 'value' => '87' + }, + '9' => { + 'name' => 'IB_DRSMP_HOPCNT_F', + 'value' => '9' + }, + '90' => { + 'name' => 'IB_SW_FIRST_F', + 'value' => '88' + }, + '91' => { + 'name' => 'IB_SW_LINEAR_FDB_CAP_F', + 'value' => '88' + }, + '92' => { + 'name' => 'IB_SW_RANDOM_FDB_CAP_F', + 'value' => '89' + }, + '93' => { + 'name' => 'IB_SW_MCAST_FDB_CAP_F', + 'value' => '90' + }, + '94' => { + 'name' => 'IB_SW_LINEAR_FDB_TOP_F', + 'value' => '91' + }, + '95' => { + 'name' => 'IB_SW_DEF_PORT_F', + 'value' => '92' + }, + '96' => { + 'name' => 'IB_SW_DEF_MCAST_PRIM_F', + 'value' => '93' + }, + '97' => { + 'name' => 'IB_SW_DEF_MCAST_NOT_PRIM_F', + 'value' => '94' + }, + '98' => { + 'name' => 'IB_SW_LIFE_TIME_F', + 'value' => '95' + }, + '99' => { + 'name' => 'IB_SW_STATE_CHANGE_F', + 'value' => '96' + } + }, + 'Name' => 'enum MAD_FIELDS', + 'Size' => '4', + 'Type' => 'Enum' + }, + '114' => { + 'BaseType' => '86', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '133' => { + 'BaseType' => '93', + 'Header' => undef, + 'Line' => '40', + 'Name' => '__uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '13740' => { + 'BaseType' => '6679', + 'Name' => 'ibnd_fabric_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '145' => { + 'BaseType' => '100', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '157' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '193' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '200' => { + 'BaseType' => '210', + 'Name' => 'char*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '210' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '217' => { + 'BaseType' => '210', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '240' => { + 'BaseType' => '114', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '252' => { + 'BaseType' => '133', + 'Header' => undef, + 'Line' => '25', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '264' => { + 'BaseType' => '145', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '276' => { + 'BaseType' => '157', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '28198' => { + 'Header' => undef, + 'Line' => '145', + 'Memb' => { + '0' => { + 'name' => 'max_smps', + 'offset' => '0', + 'type' => '100' + }, + '1' => { + 'name' => 'show_progress', + 'offset' => '4', + 'type' => '100' + }, + '2' => { + 'name' => 'max_hops', + 'offset' => '8', + 'type' => '100' + }, + '3' => { + 'name' => 'debug', + 'offset' => '18', + 'type' => '100' + }, + '4' => { + 'name' => 'timeout_ms', + 'offset' => '22', + 'type' => '100' + }, + '5' => { + 'name' => 'retries', + 'offset' => '32', + 'type' => '100' + }, + '6' => { + 'name' => 'flags', + 'offset' => '36', + 'type' => '264' + }, + '7' => { + 'name' => 'mkey', + 'offset' => '50', + 'type' => '276' + }, + '8' => { + 'name' => 'pad', + 'offset' => '64', + 'type' => '28329' + } + }, + 'Name' => 'struct ibnd_config', + 'Size' => '88', + 'Type' => 'Struct' + }, + '28329' => { + 'BaseType' => '240', + 'Name' => 'uint8_t[44]', + 'Size' => '44', + 'Type' => 'Array' + }, + '28573' => { + 'BaseType' => '28585', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'ibnd_iter_node_func_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '28585' => { + 'Name' => 'void(*)(ibnd_node_t*, void*)', + 'Param' => { + '0' => { + 'type' => '6420' + }, + '1' => { + 'type' => '193' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28606' => { + 'BaseType' => '28618', + 'Header' => undef, + 'Line' => '227', + 'Name' => 'ibnd_iter_port_func_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '28618' => { + 'Name' => 'void(*)(ibnd_port_t*, void*)', + 'Param' => { + '0' => { + 'type' => '6674' + }, + '1' => { + 'type' => '193' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '288' => { + 'BaseType' => '217', + 'Name' => 'char const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29095' => { + 'BaseType' => '28198', + 'Name' => 'struct ibnd_config*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29776' => { + 'BaseType' => '1051', + 'Name' => 'ib_portid_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '46' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '58' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '5825' => { + 'Header' => undef, + 'Line' => '54', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '6124' + }, + '1' => { + 'name' => 'path_portid', + 'offset' => '8', + 'type' => '1051' + }, + '10' => { + 'name' => 'nodedesc', + 'offset' => '626', + 'type' => '6129' + }, + '11' => { + 'name' => 'ports', + 'offset' => '836', + 'type' => '6289' + }, + '12' => { + 'name' => 'next_chassis_node', + 'offset' => '850', + 'type' => '6124' + }, + '13' => { + 'name' => 'chassis', + 'offset' => '864', + 'type' => '6403' + }, + '14' => { + 'name' => 'ch_type', + 'offset' => '872', + 'type' => '86' + }, + '15' => { + 'name' => 'ch_type_str', + 'offset' => '873', + 'type' => '770' + }, + '16' => { + 'name' => 'ch_anafanum', + 'offset' => '905', + 'type' => '86' + }, + '17' => { + 'name' => 'ch_slotnum', + 'offset' => '912', + 'type' => '86' + }, + '18' => { + 'name' => 'ch_slot', + 'offset' => '913', + 'type' => '86' + }, + '19' => { + 'name' => 'ch_found', + 'offset' => '914', + 'type' => '86' + }, + '2' => { + 'name' => 'smalid', + 'offset' => '288', + 'type' => '252' + }, + '20' => { + 'name' => 'htnext', + 'offset' => '1024', + 'type' => '6124' + }, + '21' => { + 'name' => 'type_next', + 'offset' => '1032', + 'type' => '6124' + }, + '3' => { + 'name' => 'smalmc', + 'offset' => '290', + 'type' => '240' + }, + '4' => { + 'name' => 'smaenhsp0', + 'offset' => '292', + 'type' => '65' + }, + '5' => { + 'name' => 'switchinfo', + 'offset' => '296', + 'type' => '906' + }, + '6' => { + 'name' => 'guid', + 'offset' => '402', + 'type' => '276' + }, + '7' => { + 'name' => 'type', + 'offset' => '512', + 'type' => '65' + }, + '8' => { + 'name' => 'numports', + 'offset' => '516', + 'type' => '65' + }, + '9' => { + 'name' => 'info', + 'offset' => '520', + 'type' => '906' + } + }, + 'Name' => 'struct ibnd_node', + 'Size' => '416', + 'Type' => 'Struct' + }, + '6124' => { + 'BaseType' => '5825', + 'Name' => 'struct ibnd_node*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6129' => { + 'BaseType' => '210', + 'Name' => 'char[65]', + 'Size' => '65', + 'Type' => 'Array' + }, + '6145' => { + 'Header' => undef, + 'Line' => '104', + 'Memb' => { + '0' => { + 'name' => 'guid', + 'offset' => '0', + 'type' => '276' + }, + '1' => { + 'name' => 'portnum', + 'offset' => '8', + 'type' => '65' + }, + '2' => { + 'name' => 'ext_portnum', + 'offset' => '18', + 'type' => '65' + }, + '3' => { + 'name' => 'node', + 'offset' => '22', + 'type' => '6420' + }, + '4' => { + 'name' => 'remoteport', + 'offset' => '36', + 'type' => '6294' + }, + '5' => { + 'name' => 'base_lid', + 'offset' => '50', + 'type' => '252' + }, + '6' => { + 'name' => 'lmc', + 'offset' => '52', + 'type' => '240' + }, + '7' => { + 'name' => 'info', + 'offset' => '53', + 'type' => '906' + }, + '8' => { + 'name' => 'ext_info', + 'offset' => '153', + 'type' => '906' + }, + '9' => { + 'name' => 'htnext', + 'offset' => '360', + 'type' => '6294' + } + }, + 'Name' => 'struct ibnd_port', + 'Size' => '176', + 'Type' => 'Struct' + }, + '6289' => { + 'BaseType' => '6294', + 'Name' => 'struct ibnd_port**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6294' => { + 'BaseType' => '6145', + 'Name' => 'struct ibnd_port*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6299' => { + 'Header' => undef, + 'Line' => '124', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '6403' + }, + '1' => { + 'name' => 'chassisguid', + 'offset' => '8', + 'type' => '276' + }, + '2' => { + 'name' => 'chassisnum', + 'offset' => '22', + 'type' => '86' + }, + '3' => { + 'name' => 'nodecount', + 'offset' => '23', + 'type' => '86' + }, + '4' => { + 'name' => 'nodes', + 'offset' => '36', + 'type' => '6420' + }, + '5' => { + 'name' => 'spinenode', + 'offset' => '50', + 'type' => '6437' + }, + '6' => { + 'name' => 'linenode', + 'offset' => '388', + 'type' => '6453' + } + }, + 'Name' => 'struct ibnd_chassis', + 'Size' => '480', + 'Type' => 'Struct' + }, + '6403' => { + 'BaseType' => '6299', + 'Name' => 'struct ibnd_chassis*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6408' => { + 'BaseType' => '5825', + 'Header' => undef, + 'Line' => '99', + 'Name' => 'ibnd_node_t', + 'Size' => '416', + 'Type' => 'Typedef' + }, + '6420' => { + 'BaseType' => '6408', + 'Name' => 'ibnd_node_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6425' => { + 'BaseType' => '6145', + 'Header' => undef, + 'Line' => '119', + 'Name' => 'ibnd_port_t', + 'Size' => '176', + 'Type' => 'Typedef' + }, + '6437' => { + 'BaseType' => '6420', + 'Name' => 'ibnd_node_t*[19]', + 'Size' => '152', + 'Type' => 'Array' + }, + '6453' => { + 'BaseType' => '6420', + 'Name' => 'ibnd_node_t*[37]', + 'Size' => '296', + 'Type' => 'Array' + }, + '6469' => { + 'BaseType' => '6299', + 'Header' => undef, + 'Line' => '138', + 'Name' => 'ibnd_chassis_t', + 'Size' => '480', + 'Type' => 'Typedef' + }, + '6481' => { + 'Header' => undef, + 'Line' => '161', + 'Memb' => { + '0' => { + 'name' => 'from_node', + 'offset' => '0', + 'type' => '6420' + }, + '1' => { + 'name' => 'from_portnum', + 'offset' => '8', + 'type' => '65' + }, + '10' => { + 'name' => 'routers', + 'offset' => '8776', + 'type' => '6420' + }, + '2' => { + 'name' => 'nodes', + 'offset' => '22', + 'type' => '6420' + }, + '3' => { + 'name' => 'chassis', + 'offset' => '36', + 'type' => '6637' + }, + '4' => { + 'name' => 'maxhops_discovered', + 'offset' => '50', + 'type' => '100' + }, + '5' => { + 'name' => 'total_mads_used', + 'offset' => '54', + 'type' => '100' + }, + '6' => { + 'name' => 'nodestbl', + 'offset' => '64', + 'type' => '6642' + }, + '7' => { + 'name' => 'portstbl', + 'offset' => '4406', + 'type' => '6658' + }, + '8' => { + 'name' => 'switches', + 'offset' => '8754', + 'type' => '6420' + }, + '9' => { + 'name' => 'ch_adapters', + 'offset' => '8768', + 'type' => '6420' + } + }, + 'Name' => 'struct ibnd_fabric', + 'Size' => '2256', + 'Type' => 'Struct' + }, + '65' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '6637' => { + 'BaseType' => '6469', + 'Name' => 'ibnd_chassis_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6642' => { + 'BaseType' => '6420', + 'Name' => 'ibnd_node_t*[137]', + 'Size' => '1096', + 'Type' => 'Array' + }, + '6658' => { + 'BaseType' => '6674', + 'Name' => 'ibnd_port_t*[137]', + 'Size' => '1096', + 'Type' => 'Array' + }, + '6674' => { + 'BaseType' => '6425', + 'Name' => 'ibnd_port_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6679' => { + 'BaseType' => '6481', + 'Header' => undef, + 'Line' => '182', + 'Name' => 'ibnd_fabric_t', + 'Size' => '2256', + 'Type' => 'Typedef' + }, + '770' => { + 'BaseType' => '210', + 'Name' => 'char[20]', + 'Size' => '20', + 'Type' => 'Array' + }, + '818' => { + 'BaseType' => '240', + 'Name' => 'uint8_t[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '834' => { + 'BaseType' => '818', + 'Header' => undef, + 'Line' => '243', + 'Name' => 'ibmad_gid_t', + 'Size' => '16', + 'Type' => 'Typedef' + }, + '86' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '906' => { + 'BaseType' => '240', + 'Name' => 'uint8_t[64]', + 'Size' => '64', + 'Type' => 'Array' + }, + '93' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '934' => { + 'Header' => undef, + 'Line' => '308', + 'Memb' => { + '0' => { + 'name' => 'lid', + 'offset' => '0', + 'type' => '65' + }, + '1' => { + 'name' => 'drpath', + 'offset' => '4', + 'type' => '922' + }, + '2' => { + 'name' => 'grh_present', + 'offset' => '118', + 'type' => '65' + }, + '3' => { + 'name' => 'gid', + 'offset' => '128', + 'type' => '834' + }, + '4' => { + 'name' => 'qp', + 'offset' => '150', + 'type' => '264' + }, + '5' => { + 'name' => 'qkey', + 'offset' => '256', + 'type' => '264' + }, + '6' => { + 'name' => 'sl', + 'offset' => '260', + 'type' => '240' + }, + '7' => { + 'name' => 'pkey_idx', + 'offset' => '264', + 'type' => '100' + } + }, + 'Name' => 'struct portid', + 'Size' => '112', + 'Type' => 'Struct' + } + }, + 'UndefinedSymbols' => { + 'libibnetdisc.so.5.1.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__fprintf_chk@GLIBC_2.3.4' => 0, + '__gmon_start__' => 0, + '__memset_chk@GLIBC_2.3.4' => 0, + '__printf_chk@GLIBC_2.3.4' => 0, + '__snprintf_chk@GLIBC_2.3.4' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'close@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'ib_resolve_self_via@IBMAD_1.3' => 0, + 'ibdebug@IBMAD_1.3' => 0, + 'lseek@GLIBC_2.2.5' => 0, + 'mad_build_pkt@IBMAD_1.3' => 0, + 'mad_decode_field@IBMAD_1.3' => 0, + 'mad_dump_node_type@IBMAD_1.3' => 0, + 'mad_dump_val@IBMAD_1.3' => 0, + 'mad_get_field64@IBMAD_1.3' => 0, + 'mad_get_field@IBMAD_1.3' => 0, + 'mad_rpc_close_port@IBMAD_1.3' => 0, + 'mad_rpc_open_port@IBMAD_1.3' => 0, + 'mad_rpc_set_retries@IBMAD_1.3' => 0, + 'mad_rpc_set_timeout@IBMAD_1.3' => 0, + 'mad_trid@IBMAD_1.3' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'open@GLIBC_2.2.5' => 0, + 'portid2str@IBMAD_1.3' => 0, + 'read@GLIBC_2.2.5' => 0, + 'smp_mkey_set@IBMAD_1.3' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'stat@GLIBC_2.33' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'str2drpath@IBMAD_1.3' => 0, + 'strerror@GLIBC_2.2.5' => 0, + 'strncpy@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'umad_close_port@IBUMAD_1.0' => 0, + 'umad_get_mad@IBUMAD_1.0' => 0, + 'umad_init@IBUMAD_1.0' => 0, + 'umad_open_port@IBUMAD_1.0' => 0, + 'umad_recv@IBUMAD_1.0' => 0, + 'umad_register@IBUMAD_1.0' => 0, + 'umad_send@IBUMAD_1.0' => 0, + 'umad_size@IBUMAD_1.0' => 0, + 'umad_status@IBUMAD_1.0' => 0, + 'unlink@GLIBC_2.2.5' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/ibumad.dump b/ABI/ibumad.dump new file mode 100644 index 000000000..aad68e480 --- /dev/null +++ b/ABI/ibumad.dump @@ -0,0 +1,1427 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'libibumad.so.3.2.49.0', + 'LibraryVersion' => 'ibumad', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '10434' => { + 'Header' => undef, + 'Line' => '1044', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '112' + }, + '1' => { + 'name' => 'mgmt_class', + 'type' => '112' + }, + '2' => { + 'name' => 'mgmt_version', + 'type' => '112' + }, + '3' => { + 'name' => 'rmpp_version', + 'type' => '174' + }, + '4' => { + 'name' => 'method_mask', + 'type' => '11296' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_register' + }, + '11322' => { + 'Header' => undef, + 'Line' => '1005', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '112' + }, + '1' => { + 'name' => 'mgmt_class', + 'type' => '112' + }, + '2' => { + 'name' => 'rmpp_version', + 'type' => '174' + }, + '3' => { + 'name' => 'oui', + 'type' => '12269' + }, + '4' => { + 'name' => 'method_mask', + 'type' => '11296' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_register_oui' + }, + '12295' => { + 'Header' => undef, + 'Line' => '999', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_get_fd' + }, + '12505' => { + 'Header' => undef, + 'Line' => '993', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '112' + }, + '1' => { + 'name' => 'timeout_ms', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_poll' + }, + '12904' => { + 'Header' => undef, + 'Line' => '946', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '112' + }, + '1' => { + 'name' => 'umad', + 'type' => '138' + }, + '2' => { + 'name' => 'length', + 'type' => '773' + }, + '3' => { + 'name' => 'timeout_ms', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_recv' + }, + '13926' => { + 'Header' => undef, + 'Line' => '901', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '112' + }, + '1' => { + 'name' => 'agentid', + 'type' => '112' + }, + '2' => { + 'name' => 'umad', + 'type' => '138' + }, + '3' => { + 'name' => 'length', + 'type' => '112' + }, + '4' => { + 'name' => 'timeout_ms', + 'type' => '112' + }, + '5' => { + 'name' => 'retries', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_send' + }, + '14491' => { + 'Header' => undef, + 'Line' => '887', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + }, + '1' => { + 'name' => 'dlid', + 'type' => '296' + }, + '2' => { + 'name' => 'dqp', + 'type' => '4238' + }, + '3' => { + 'name' => 'sl', + 'type' => '112' + }, + '4' => { + 'name' => 'qkey', + 'type' => '4238' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_set_addr_net' + }, + '14924' => { + 'Header' => undef, + 'Line' => '873', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + }, + '1' => { + 'name' => 'dlid', + 'type' => '112' + }, + '2' => { + 'name' => 'dqp', + 'type' => '112' + }, + '3' => { + 'name' => 'sl', + 'type' => '112' + }, + '4' => { + 'name' => 'qkey', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_set_addr' + }, + '15325' => { + 'Header' => undef, + 'Line' => '863', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_get_pkey' + }, + '15394' => { + 'Header' => undef, + 'Line' => '853', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + }, + '1' => { + 'name' => 'pkey_index', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_set_pkey' + }, + '15477' => { + 'Header' => undef, + 'Line' => '835', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + }, + '1' => { + 'name' => 'mad_addr', + 'type' => '138' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_set_grh' + }, + '15620' => { + 'Header' => undef, + 'Line' => '829', + 'Return' => '198', + 'ShortName' => 'umad_size' + }, + '15651' => { + 'Header' => undef, + 'Line' => '823', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + } + }, + 'Return' => '138', + 'ShortName' => 'umad_get_mad' + }, + '15700' => { + 'Header' => undef, + 'Line' => '816', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_close_port' + }, + '15913' => { + 'Header' => undef, + 'Line' => '801', + 'Param' => { + '0' => { + 'name' => 'port', + 'type' => '5093' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_release_port' + }, + '16302' => { + 'Header' => undef, + 'Line' => '778', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '210' + }, + '1' => { + 'name' => 'portnum', + 'type' => '112' + }, + '2' => { + 'name' => 'port', + 'type' => '5093' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_get_port' + }, + '16852' => { + 'Header' => undef, + 'Line' => '763', + 'Param' => { + '0' => { + 'name' => 'ca', + 'type' => '17287' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_release_ca' + }, + '17292' => { + 'Header' => undef, + 'Line' => '738', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '210' + }, + '1' => { + 'name' => 'ca', + 'type' => '17287' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_get_ca' + }, + '17767' => { + 'Header' => undef, + 'Line' => '688', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '210' + }, + '1' => { + 'name' => 'portnum', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_open_port' + }, + '19029' => { + 'Header' => undef, + 'Line' => '661', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '210' + }, + '1' => { + 'name' => 'portnum', + 'type' => '112' + }, + '2' => { + 'name' => 'path', + 'type' => '152' + }, + '3' => { + 'name' => 'max', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_get_issm_path' + }, + '19603' => { + 'Header' => undef, + 'Line' => '622', + 'Param' => { + '0' => { + 'name' => 'ca_name', + 'type' => '210' + }, + '1' => { + 'name' => 'portguids', + 'type' => '1946' + }, + '2' => { + 'name' => 'max', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_get_ca_portguids' + }, + '20216' => { + 'Header' => undef, + 'Line' => '592', + 'Param' => { + '0' => { + 'name' => 'cas', + 'type' => '20993' + }, + '1' => { + 'name' => 'max', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_get_cas_names' + }, + '21272' => { + 'Header' => undef, + 'Line' => '572', + 'Return' => '112', + 'ShortName' => 'umad_done' + }, + '21436' => { + 'Header' => undef, + 'Line' => '566', + 'Return' => '112', + 'ShortName' => 'umad_init' + }, + '29277' => { + 'Header' => undef, + 'Line' => '339', + 'Param' => { + '0' => { + 'name' => 'mgmt_class', + 'type' => '174' + }, + '1' => { + 'name' => 'attr_id', + 'type' => '296' + } + }, + 'Return' => '210', + 'ShortName' => 'umad_attribute_str' + }, + '29757' => { + 'Header' => undef, + 'Line' => '165', + 'Param' => { + '0' => { + 'name' => '_status', + 'type' => '296' + } + }, + 'Return' => '210', + 'ShortName' => 'umad_sa_mad_status_str' + }, + '29813' => { + 'Header' => undef, + 'Line' => '142', + 'Param' => { + '0' => { + 'name' => '_status', + 'type' => '296' + } + }, + 'Return' => '210', + 'ShortName' => 'umad_common_mad_status_str' + }, + '29875' => { + 'Header' => undef, + 'Line' => '134', + 'Param' => { + '0' => { + 'name' => 'mgmt_class', + 'type' => '174' + }, + '1' => { + 'name' => 'method', + 'type' => '174' + } + }, + 'Return' => '210', + 'ShortName' => 'umad_method_str' + }, + '30102' => { + 'Header' => undef, + 'Line' => '45', + 'Param' => { + '0' => { + 'name' => 'mgmt_class', + 'type' => '174' + } + }, + 'Return' => '210', + 'ShortName' => 'umad_class_str' + }, + '6842' => { + 'Header' => undef, + 'Line' => '1322', + 'Param' => { + '0' => { + 'name' => 'head', + 'type' => '5150' + } + }, + 'Return' => '1', + 'ShortName' => 'umad_free_ca_device_list' + }, + '6945' => { + 'Header' => undef, + 'Line' => '1265', + 'Return' => '5150', + 'ShortName' => 'umad_get_ca_device_list' + }, + '7653' => { + 'Header' => undef, + 'Line' => '1218', + 'Param' => { + '0' => { + 'name' => 'head', + 'type' => '7908' + }, + '1' => { + 'name' => 'size', + 'type' => '198' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_sort_ca_device_list' + }, + '7913' => { + 'Header' => undef, + 'Line' => '1209', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + } + }, + 'Return' => '1', + 'ShortName' => 'umad_dump' + }, + '8167' => { + 'Header' => undef, + 'Line' => '1189', + 'Param' => { + '0' => { + 'name' => 'addr', + 'type' => '8516' + } + }, + 'Return' => '1', + 'ShortName' => 'umad_addr_dump' + }, + '8558' => { + 'Header' => undef, + 'Line' => '1182', + 'Param' => { + '0' => { + 'name' => 'level', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_debug' + }, + '8607' => { + 'Header' => undef, + 'Line' => '1175', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + } + }, + 'Return' => '8516', + 'ShortName' => 'umad_get_mad_addr' + }, + '8676' => { + 'Header' => undef, + 'Line' => '1168', + 'Param' => { + '0' => { + 'name' => 'umad', + 'type' => '138' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_status' + }, + '8745' => { + 'Header' => undef, + 'Line' => '1162', + 'Param' => { + '0' => { + 'name' => 'fd', + 'type' => '112' + }, + '1' => { + 'name' => 'agentid', + 'type' => '112' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_unregister' + }, + '9017' => { + 'Header' => undef, + 'Line' => '1079', + 'Param' => { + '0' => { + 'name' => 'port_fd', + 'type' => '112' + }, + '1' => { + 'name' => 'attr', + 'type' => '10403' + }, + '2' => { + 'name' => 'agent_id', + 'type' => '10408' + } + }, + 'Return' => '112', + 'ShortName' => 'umad_register2' + } + }, + 'SymbolVersion' => { + 'umad_addr_dump' => 'umad_addr_dump@@IBUMAD_1.0', + 'umad_attribute_str' => 'umad_attribute_str@@IBUMAD_1.0', + 'umad_class_str' => 'umad_class_str@@IBUMAD_1.0', + 'umad_close_port' => 'umad_close_port@@IBUMAD_1.0', + 'umad_common_mad_status_str' => 'umad_common_mad_status_str@@IBUMAD_1.0', + 'umad_debug' => 'umad_debug@@IBUMAD_1.0', + 'umad_done' => 'umad_done@@IBUMAD_1.0', + 'umad_dump' => 'umad_dump@@IBUMAD_1.0', + 'umad_free_ca_device_list' => 'umad_free_ca_device_list@@IBUMAD_1.1', + 'umad_get_ca' => 'umad_get_ca@@IBUMAD_1.0', + 'umad_get_ca_device_list' => 'umad_get_ca_device_list@@IBUMAD_1.1', + 'umad_get_ca_portguids' => 'umad_get_ca_portguids@@IBUMAD_1.0', + 'umad_get_cas_names' => 'umad_get_cas_names@@IBUMAD_1.0', + 'umad_get_fd' => 'umad_get_fd@@IBUMAD_1.0', + 'umad_get_issm_path' => 'umad_get_issm_path@@IBUMAD_1.0', + 'umad_get_mad' => 'umad_get_mad@@IBUMAD_1.0', + 'umad_get_mad_addr' => 'umad_get_mad_addr@@IBUMAD_1.0', + 'umad_get_pkey' => 'umad_get_pkey@@IBUMAD_1.0', + 'umad_get_port' => 'umad_get_port@@IBUMAD_1.0', + 'umad_init' => 'umad_init@@IBUMAD_1.0', + 'umad_method_str' => 'umad_method_str@@IBUMAD_1.0', + 'umad_open_port' => 'umad_open_port@@IBUMAD_1.0', + 'umad_poll' => 'umad_poll@@IBUMAD_1.0', + 'umad_recv' => 'umad_recv@@IBUMAD_1.0', + 'umad_register' => 'umad_register@@IBUMAD_1.0', + 'umad_register2' => 'umad_register2@@IBUMAD_1.0', + 'umad_register_oui' => 'umad_register_oui@@IBUMAD_1.0', + 'umad_release_ca' => 'umad_release_ca@@IBUMAD_1.0', + 'umad_release_port' => 'umad_release_port@@IBUMAD_1.0', + 'umad_sa_mad_status_str' => 'umad_sa_mad_status_str@@IBUMAD_1.0', + 'umad_send' => 'umad_send@@IBUMAD_1.0', + 'umad_set_addr' => 'umad_set_addr@@IBUMAD_1.0', + 'umad_set_addr_net' => 'umad_set_addr_net@@IBUMAD_1.0', + 'umad_set_grh' => 'umad_set_grh@@IBUMAD_1.0', + 'umad_set_pkey' => 'umad_set_pkey@@IBUMAD_1.0', + 'umad_size' => 'umad_size@@IBUMAD_1.0', + 'umad_sort_ca_device_list' => 'umad_sort_ca_device_list@@IBUMAD_1.2', + 'umad_status' => 'umad_status@@IBUMAD_1.0', + 'umad_unregister' => 'umad_unregister@@IBUMAD_1.0' + }, + 'Symbols' => { + 'libibumad.so.3.2.49.0' => { + 'umad_addr_dump@@IBUMAD_1.0' => 1, + 'umad_attribute_str@@IBUMAD_1.0' => 1, + 'umad_class_str@@IBUMAD_1.0' => 1, + 'umad_close_port@@IBUMAD_1.0' => 1, + 'umad_common_mad_status_str@@IBUMAD_1.0' => 1, + 'umad_debug@@IBUMAD_1.0' => 1, + 'umad_done@@IBUMAD_1.0' => 1, + 'umad_dump@@IBUMAD_1.0' => 1, + 'umad_free_ca_device_list@@IBUMAD_1.1' => 1, + 'umad_get_ca@@IBUMAD_1.0' => 1, + 'umad_get_ca_device_list@@IBUMAD_1.1' => 1, + 'umad_get_ca_portguids@@IBUMAD_1.0' => 1, + 'umad_get_cas_names@@IBUMAD_1.0' => 1, + 'umad_get_fd@@IBUMAD_1.0' => 1, + 'umad_get_issm_path@@IBUMAD_1.0' => 1, + 'umad_get_mad@@IBUMAD_1.0' => 1, + 'umad_get_mad_addr@@IBUMAD_1.0' => 1, + 'umad_get_pkey@@IBUMAD_1.0' => 1, + 'umad_get_port@@IBUMAD_1.0' => 1, + 'umad_init@@IBUMAD_1.0' => 1, + 'umad_method_str@@IBUMAD_1.0' => 1, + 'umad_open_port@@IBUMAD_1.0' => 1, + 'umad_poll@@IBUMAD_1.0' => 1, + 'umad_recv@@IBUMAD_1.0' => 1, + 'umad_register2@@IBUMAD_1.0' => 1, + 'umad_register@@IBUMAD_1.0' => 1, + 'umad_register_oui@@IBUMAD_1.0' => 1, + 'umad_release_ca@@IBUMAD_1.0' => 1, + 'umad_release_port@@IBUMAD_1.0' => 1, + 'umad_sa_mad_status_str@@IBUMAD_1.0' => 1, + 'umad_send@@IBUMAD_1.0' => 1, + 'umad_set_addr@@IBUMAD_1.0' => 1, + 'umad_set_addr_net@@IBUMAD_1.0' => 1, + 'umad_set_grh@@IBUMAD_1.0' => 1, + 'umad_set_pkey@@IBUMAD_1.0' => 1, + 'umad_size@@IBUMAD_1.0' => 1, + 'umad_sort_ca_device_list@@IBUMAD_1.2' => 1, + 'umad_status@@IBUMAD_1.0' => 1, + 'umad_unregister@@IBUMAD_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '100' => { + 'BaseType' => '53', + 'Header' => undef, + 'Line' => '40', + 'Name' => '__uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '10403' => { + 'BaseType' => '5155', + 'Name' => 'struct umad_reg_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10408' => { + 'BaseType' => '4085', + 'Name' => 'uint32_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '112' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '11296' => { + 'BaseType' => '119', + 'Name' => 'long*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '119' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '12269' => { + 'BaseType' => '174', + 'Name' => 'uint8_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '126' => { + 'BaseType' => '67', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '138' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '152' => { + 'BaseType' => '162', + 'Name' => 'char*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '162' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '169' => { + 'BaseType' => '162', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '17287' => { + 'BaseType' => '5098', + 'Name' => 'umad_ca_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '174' => { + 'BaseType' => '81', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '186' => { + 'BaseType' => '126', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '1946' => { + 'BaseType' => '308', + 'Name' => '__be64*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '198' => { + 'BaseType' => '67', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '20993' => { + 'BaseType' => '3782', + 'Name' => 'char[20]*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '210' => { + 'BaseType' => '169', + 'Name' => 'char const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '239' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '272' => { + 'BaseType' => '53', + 'Header' => undef, + 'Line' => '24', + 'Name' => '__u16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '284' => { + 'BaseType' => '239', + 'Header' => undef, + 'Line' => '31', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '296' => { + 'BaseType' => '272', + 'Header' => undef, + 'Line' => '25', + 'Name' => '__be16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '308' => { + 'BaseType' => '284', + 'Header' => undef, + 'Line' => '29', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '3146' => { + 'BaseType' => '60', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '320' => { + 'BaseType' => '174', + 'Name' => 'uint8_t[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '336' => { + 'Header' => undef, + 'Line' => '59', + 'Memb' => { + '0' => { + 'name' => 'subnet_prefix', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'interface_id', + 'offset' => '8', + 'type' => '308' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '368' => { + 'Header' => undef, + 'Line' => '56', + 'Memb' => { + '0' => { + 'name' => 'raw', + 'offset' => '0', + 'type' => '320' + }, + '1' => { + 'name' => 'raw_be16', + 'offset' => '0', + 'type' => '417' + }, + '2' => { + 'name' => 'global', + 'offset' => '0', + 'type' => '336' + } + }, + 'Name' => 'union umad_gid', + 'Size' => '16', + 'Type' => 'Union' + }, + '3782' => { + 'BaseType' => '162', + 'Name' => 'char[20]', + 'Size' => '20', + 'Type' => 'Array' + }, + '3827' => { + 'BaseType' => '162', + 'Name' => 'char[40]', + 'Size' => '40', + 'Type' => 'Array' + }, + '4073' => { + 'BaseType' => '100', + 'Header' => undef, + 'Line' => '25', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '4085' => { + 'BaseType' => '3146', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '417' => { + 'BaseType' => '296', + 'Name' => '__be16[8]', + 'Size' => '16', + 'Type' => 'Array' + }, + '4202' => { + 'BaseType' => '60', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '4238' => { + 'BaseType' => '4202', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '4362' => { + 'Header' => undef, + 'Line' => '77', + 'Memb' => { + '0' => { + 'name' => 'gid', + 'offset' => '0', + 'type' => '320' + }, + '1' => { + 'name' => 'ib_gid', + 'offset' => '0', + 'type' => '368' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '4397' => { + 'Header' => undef, + 'Line' => '67', + 'Memb' => { + '0' => { + 'name' => 'qpn', + 'offset' => '0', + 'type' => '4238' + }, + '1' => { + 'name' => 'qkey', + 'offset' => '4', + 'type' => '4238' + }, + '10' => { + 'name' => 'flow_label', + 'offset' => '50', + 'type' => '4238' + }, + '11' => { + 'name' => 'pkey_index', + 'offset' => '54', + 'type' => '4073' + }, + '12' => { + 'name' => 'reserved', + 'offset' => '56', + 'type' => '4571' + }, + '2' => { + 'name' => 'lid', + 'offset' => '8', + 'type' => '296' + }, + '3' => { + 'name' => 'sl', + 'offset' => '16', + 'type' => '174' + }, + '4' => { + 'name' => 'path_bits', + 'offset' => '17', + 'type' => '174' + }, + '5' => { + 'name' => 'grh_present', + 'offset' => '18', + 'type' => '174' + }, + '6' => { + 'name' => 'gid_index', + 'offset' => '19', + 'type' => '174' + }, + '7' => { + 'name' => 'hop_limit', + 'offset' => '20', + 'type' => '174' + }, + '8' => { + 'name' => 'traffic_class', + 'offset' => '21', + 'type' => '174' + }, + '9' => { + 'name' => 'unnamed0', + 'offset' => '22', + 'type' => '4362' + } + }, + 'Name' => 'struct ib_mad_addr', + 'Size' => '44', + 'Type' => 'Struct' + }, + '4571' => { + 'BaseType' => '174', + 'Name' => 'uint8_t[6]', + 'Size' => '6', + 'Type' => 'Array' + }, + '4587' => { + 'BaseType' => '4397', + 'Header' => undef, + 'Line' => '84', + 'Name' => 'ib_mad_addr_t', + 'Size' => '44', + 'Type' => 'Typedef' + }, + '46' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '4720' => { + 'Header' => undef, + 'Line' => '142', + 'Memb' => { + '0' => { + 'name' => 'ca_name', + 'offset' => '0', + 'type' => '3782' + }, + '1' => { + 'name' => 'portnum', + 'offset' => '32', + 'type' => '112' + }, + '10' => { + 'name' => 'gid_prefix', + 'offset' => '86', + 'type' => '308' + }, + '11' => { + 'name' => 'port_guid', + 'offset' => '100', + 'type' => '308' + }, + '12' => { + 'name' => 'pkeys_size', + 'offset' => '114', + 'type' => '60' + }, + '13' => { + 'name' => 'pkeys', + 'offset' => '128', + 'type' => '4929' + }, + '14' => { + 'name' => 'link_layer', + 'offset' => '136', + 'type' => '3782' + }, + '2' => { + 'name' => 'base_lid', + 'offset' => '36', + 'type' => '60' + }, + '3' => { + 'name' => 'lmc', + 'offset' => '40', + 'type' => '60' + }, + '4' => { + 'name' => 'sm_lid', + 'offset' => '50', + 'type' => '60' + }, + '5' => { + 'name' => 'sm_sl', + 'offset' => '54', + 'type' => '60' + }, + '6' => { + 'name' => 'state', + 'offset' => '64', + 'type' => '60' + }, + '7' => { + 'name' => 'phys_state', + 'offset' => '68', + 'type' => '60' + }, + '8' => { + 'name' => 'rate', + 'offset' => '72', + 'type' => '60' + }, + '9' => { + 'name' => 'capmask', + 'offset' => '82', + 'type' => '4238' + } + }, + 'Name' => 'struct umad_port', + 'Size' => '112', + 'Type' => 'Struct' + }, + '4929' => { + 'BaseType' => '4073', + 'Name' => 'uint16_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4934' => { + 'BaseType' => '4720', + 'Header' => undef, + 'Line' => '158', + 'Name' => 'umad_port_t', + 'Size' => '112', + 'Type' => 'Typedef' + }, + '4946' => { + 'Header' => undef, + 'Line' => '160', + 'Memb' => { + '0' => { + 'name' => 'ca_name', + 'offset' => '0', + 'type' => '3782' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '32', + 'type' => '60' + }, + '2' => { + 'name' => 'numports', + 'offset' => '36', + 'type' => '112' + }, + '3' => { + 'name' => 'fw_ver', + 'offset' => '40', + 'type' => '3782' + }, + '4' => { + 'name' => 'ca_type', + 'offset' => '72', + 'type' => '3827' + }, + '5' => { + 'name' => 'hw_ver', + 'offset' => '136', + 'type' => '3782' + }, + '6' => { + 'name' => 'node_guid', + 'offset' => '274', + 'type' => '308' + }, + '7' => { + 'name' => 'system_guid', + 'offset' => '288', + 'type' => '308' + }, + '8' => { + 'name' => 'ports', + 'offset' => '296', + 'type' => '5077' + } + }, + 'Name' => 'struct umad_ca', + 'Size' => '208', + 'Type' => 'Struct' + }, + '5077' => { + 'BaseType' => '5093', + 'Name' => 'umad_port_t*[10]', + 'Size' => '80', + 'Type' => 'Array' + }, + '5093' => { + 'BaseType' => '4934', + 'Name' => 'umad_port_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5098' => { + 'BaseType' => '4946', + 'Header' => undef, + 'Line' => '170', + 'Name' => 'umad_ca_t', + 'Size' => '208', + 'Type' => 'Typedef' + }, + '5110' => { + 'Header' => undef, + 'Line' => '172', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '5150' + }, + '1' => { + 'name' => 'ca_name', + 'offset' => '8', + 'type' => '210' + } + }, + 'Name' => 'struct umad_device_node', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5150' => { + 'BaseType' => '5110', + 'Name' => 'struct umad_device_node*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5155' => { + 'Header' => undef, + 'Line' => '224', + 'Memb' => { + '0' => { + 'name' => 'mgmt_class', + 'offset' => '0', + 'type' => '174' + }, + '1' => { + 'name' => 'mgmt_class_version', + 'offset' => '1', + 'type' => '174' + }, + '2' => { + 'name' => 'flags', + 'offset' => '4', + 'type' => '4085' + }, + '3' => { + 'name' => 'method_mask', + 'offset' => '8', + 'type' => '5247' + }, + '4' => { + 'name' => 'oui', + 'offset' => '36', + 'type' => '4085' + }, + '5' => { + 'name' => 'rmpp_version', + 'offset' => '40', + 'type' => '174' + } + }, + 'Name' => 'struct umad_reg_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '5247' => { + 'BaseType' => '186', + 'Name' => 'uint64_t[2]', + 'Size' => '16', + 'Type' => 'Array' + }, + '53' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '60' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '67' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '773' => { + 'BaseType' => '112', + 'Name' => 'int*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7908' => { + 'BaseType' => '5150', + 'Name' => 'struct umad_device_node**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '81' => { + 'BaseType' => '46', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '8516' => { + 'BaseType' => '4587', + 'Name' => 'ib_mad_addr_t*', + 'Size' => '8', + 'Type' => 'Pointer' + } + }, + 'UndefinedSymbols' => { + 'libibumad.so.3.2.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__ctype_b_loc@GLIBC_2.3' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__fprintf_chk@GLIBC_2.3.4' => 0, + '__gmon_start__' => 0, + '__snprintf_chk@GLIBC_2.3.4' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + 'alphasort@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'close@GLIBC_2.2.5' => 0, + 'closedir@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'getpid@GLIBC_2.2.5' => 0, + 'ioctl@GLIBC_2.2.5' => 0, + 'open@GLIBC_2.2.5' => 0, + 'opendir@GLIBC_2.2.5' => 0, + 'poll@GLIBC_2.2.5' => 0, + 'qsort@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'readdir@GLIBC_2.2.5' => 0, + 'scandir@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'strcmp@GLIBC_2.2.5' => 0, + 'strcpy@GLIBC_2.2.5' => 0, + 'strdup@GLIBC_2.2.5' => 0, + 'strerror@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strncmp@GLIBC_2.2.5' => 0, + 'strncpy@GLIBC_2.2.5' => 0, + 'strrchr@GLIBC_2.2.5' => 0, + 'strsep@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'strtoul@GLIBC_2.2.5' => 0, + 'strtoull@GLIBC_2.2.5' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/ibverbs.dump b/ABI/ibverbs.dump new file mode 100644 index 000000000..8531f661f --- /dev/null +++ b/ABI/ibverbs.dump @@ -0,0 +1,18681 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'libibverbs.so.1.14.49.0', + 'LibraryVersion' => 'ibverbs', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libnl-3.so.200' => 1, + 'libnl-route-3.so.200' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '101005' => { + 'Header' => undef, + 'Line' => '68', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'port_attr', + 'type' => '63788' + }, + '3' => { + 'name' => 'cmd', + 'type' => '102078' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_query_port' + }, + '109466' => { + 'Header' => undef, + 'Line' => '78', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'dm', + 'type' => '111448' + }, + '2' => { + 'name' => 'offset', + 'type' => '965' + }, + '3' => { + 'name' => 'length', + 'type' => '53' + }, + '4' => { + 'name' => 'access', + 'type' => '70' + }, + '5' => { + 'name' => 'vmr', + 'type' => '23204' + }, + '6' => { + 'name' => 'link', + 'type' => '40016' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_reg_dm_mr' + }, + '111472' => { + 'Header' => undef, + 'Line' => '63', + 'Param' => { + '0' => { + 'name' => 'dm', + 'type' => '111448' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_free_dm' + }, + '111924' => { + 'Header' => undef, + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '8991' + }, + '1' => { + 'name' => 'dm_attr', + 'type' => '112923' + }, + '2' => { + 'name' => 'dm', + 'type' => '111448' + }, + '3' => { + 'name' => 'link', + 'type' => '40016' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_alloc_dm' + }, + '141770' => { + 'Header' => undef, + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'flow_id', + 'type' => '18335' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_flow' + }, + '148945' => { + 'Header' => undef, + 'Line' => '120', + 'Param' => { + '0' => { + 'name' => 'action', + 'type' => '149382' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_flow_action' + }, + '149404' => { + 'Header' => undef, + 'Line' => '101', + 'Param' => { + '0' => { + 'name' => 'flow_action', + 'type' => '149382' + }, + '1' => { + 'name' => 'attr', + 'type' => '64103' + }, + '2' => { + 'name' => 'driver', + 'type' => '40016' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_modify_flow_action_esp' + }, + '149927' => { + 'Header' => undef, + 'Line' => '72', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '8991' + }, + '1' => { + 'name' => 'attr', + 'type' => '64103' + }, + '2' => { + 'name' => 'flow_action', + 'type' => '149382' + }, + '3' => { + 'name' => 'driver', + 'type' => '40016' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_flow_action_esp' + }, + '177685' => { + 'Header' => undef, + 'Line' => '120', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'offset', + 'type' => '965' + }, + '2' => { + 'name' => 'length', + 'type' => '53' + }, + '3' => { + 'name' => 'iova', + 'type' => '965' + }, + '4' => { + 'name' => 'fd', + 'type' => '161' + }, + '5' => { + 'name' => 'access', + 'type' => '161' + }, + '6' => { + 'name' => 'vmr', + 'type' => '23204' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_reg_dmabuf_mr' + }, + '179782' => { + 'Header' => undef, + 'Line' => '90', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'vmr', + 'type' => '23204' + }, + '2' => { + 'name' => 'mr_handle', + 'type' => '953' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_query_mr' + }, + '180880' => { + 'Header' => undef, + 'Line' => '58', + 'Param' => { + '0' => { + 'name' => 'vmr', + 'type' => '23204' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_dereg_mr' + }, + '181408' => { + 'Header' => undef, + 'Line' => '39', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'advice', + 'type' => '52608' + }, + '2' => { + 'name' => 'flags', + 'type' => '953' + }, + '3' => { + 'name' => 'sg_list', + 'type' => '14062' + }, + '4' => { + 'name' => 'num_sge', + 'type' => '953' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_advise_mr' + }, + '189762' => { + 'Header' => undef, + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'mw', + 'type' => '13825' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_dealloc_mw' + }, + '196941' => { + 'Header' => undef, + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_dealloc_pd' + }, + '218924' => { + 'Header' => undef, + 'Line' => '449', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_qp' + }, + '219764' => { + 'Header' => undef, + 'Line' => '422', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'qp', + 'type' => '30110' + }, + '2' => { + 'name' => 'attr_ex', + 'type' => '64759' + }, + '3' => { + 'name' => 'cmd', + 'type' => '220204' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '220209' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_qp_ex2' + }, + '220231' => { + 'Header' => undef, + 'Line' => '401', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'qp', + 'type' => '30110' + }, + '2' => { + 'name' => 'attr_ex', + 'type' => '64759' + }, + '3' => { + 'name' => 'cmd', + 'type' => '220731' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '30120' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_qp_ex' + }, + '220758' => { + 'Header' => undef, + 'Line' => '373', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'qp', + 'type' => '9935' + }, + '2' => { + 'name' => 'attr', + 'type' => '23199' + }, + '3' => { + 'name' => 'cmd', + 'type' => '220731' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '30120' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_qp' + }, + '23272' => { + 'Data' => 1, + 'Header' => undef, + 'Line' => '321', + 'Return' => '18370', + 'ShortName' => 'verbs_allow_disassociate_destroy' + }, + '233594' => { + 'Header' => undef, + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'rwq_ind_table', + 'type' => '12422' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_rwq_ind_table' + }, + '23611' => { + 'Header' => undef, + 'Line' => '1205', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '9734' + }, + '1' => { + 'name' => 'attr', + 'type' => '18340' + }, + '2' => { + 'name' => 'cmd', + 'type' => '23769' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_modify_cq' + }, + '23774' => { + 'Header' => undef, + 'Line' => '1160', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'init_attr', + 'type' => '18345' + }, + '2' => { + 'name' => 'rwq_ind_table', + 'type' => '12422' + }, + '3' => { + 'name' => 'resp', + 'type' => '24173' + }, + '4' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_rwq_ind_table' + }, + '24183' => { + 'Header' => undef, + 'Line' => '1128', + 'Param' => { + '0' => { + 'name' => 'wq', + 'type' => '10252' + }, + '1' => { + 'name' => 'attr', + 'type' => '18350' + }, + '2' => { + 'name' => 'cmd', + 'type' => '24420' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_modify_wq' + }, + '24425' => { + 'Header' => undef, + 'Line' => '1069', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'flow_id', + 'type' => '18335' + }, + '2' => { + 'name' => 'flow_attr', + 'type' => '18355' + }, + '3' => { + 'name' => 'ucmd', + 'type' => '82' + }, + '4' => { + 'name' => 'ucmd_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_flow' + }, + '252985' => { + 'Header' => undef, + 'Line' => '245', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '10052' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_srq' + }, + '253814' => { + 'Header' => undef, + 'Line' => '222', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'srq', + 'type' => '254243' + }, + '2' => { + 'name' => 'attr_ex', + 'type' => '64819' + }, + '3' => { + 'name' => 'cmd', + 'type' => '254248' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '254253' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_srq_ex' + }, + '254275' => { + 'Header' => undef, + 'Line' => '200', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'srq', + 'type' => '10052' + }, + '2' => { + 'name' => 'attr', + 'type' => '67151' + }, + '3' => { + 'name' => 'cmd', + 'type' => '254783' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '254253' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_srq' + }, + '26469' => { + 'Header' => undef, + 'Line' => '858', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'gid', + 'type' => '23189' + }, + '2' => { + 'name' => 'lid', + 'type' => '941' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_detach_mcast' + }, + '26766' => { + 'Header' => undef, + 'Line' => '845', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'gid', + 'type' => '23189' + }, + '2' => { + 'name' => 'lid', + 'type' => '941' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_attach_mcast' + }, + '26991' => { + 'Header' => undef, + 'Line' => '809', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'ah', + 'type' => '13672' + }, + '2' => { + 'name' => 'attr', + 'type' => '23194' + }, + '3' => { + 'name' => 'resp', + 'type' => '27330' + }, + '4' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_ah' + }, + '27335' => { + 'Header' => undef, + 'Line' => '750', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '10052' + }, + '1' => { + 'name' => 'wr', + 'type' => '14138' + }, + '2' => { + 'name' => 'bad_wr', + 'type' => '14225' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_post_srq_recv' + }, + '277720' => { + 'Header' => undef, + 'Line' => '141', + 'Param' => { + '0' => { + 'name' => 'wq', + 'type' => '10252' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_wq' + }, + '27809' => { + 'Header' => undef, + 'Line' => '691', + 'Param' => { + '0' => { + 'name' => 'ibqp', + 'type' => '9935' + }, + '1' => { + 'name' => 'wr', + 'type' => '14138' + }, + '2' => { + 'name' => 'bad_wr', + 'type' => '14225' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_post_recv' + }, + '278565' => { + 'Header' => undef, + 'Line' => '121', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'wq_init_attr', + 'type' => '64453' + }, + '2' => { + 'name' => 'wq', + 'type' => '10252' + }, + '3' => { + 'name' => 'cmd', + 'type' => '281926' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '281931' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_wq' + }, + '28277' => { + 'Header' => undef, + 'Line' => '603', + 'Param' => { + '0' => { + 'name' => 'ibqp', + 'type' => '9935' + }, + '1' => { + 'name' => 'wr', + 'type' => '14057' + }, + '2' => { + 'name' => 'bad_wr', + 'type' => '18295' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_post_send' + }, + '28752' => { + 'Header' => undef, + 'Line' => '583', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'attr', + 'type' => '23209' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '161' + }, + '3' => { + 'name' => 'cmd', + 'type' => '28996' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '29001' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_modify_qp_ex' + }, + '289523' => { + 'Header' => undef, + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'xrcd', + 'type' => '22845' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_close_xrcd' + }, + '29006' => { + 'Header' => undef, + 'Line' => '566', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'attr', + 'type' => '23209' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '161' + }, + '3' => { + 'name' => 'cmd', + 'type' => '29220' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_modify_qp' + }, + '29294' => { + 'Header' => undef, + 'Line' => '393', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'attr', + 'type' => '23209' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '161' + }, + '3' => { + 'name' => 'init_attr', + 'type' => '23199' + }, + '4' => { + 'name' => 'cmd', + 'type' => '29717' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_query_qp' + }, + '29722' => { + 'Header' => undef, + 'Line' => '343', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'qp', + 'type' => '30110' + }, + '2' => { + 'name' => 'vqp_sz', + 'type' => '161' + }, + '3' => { + 'name' => 'attr', + 'type' => '18360' + }, + '4' => { + 'name' => 'cmd', + 'type' => '30115' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '6' => { + 'name' => 'resp', + 'type' => '30120' + }, + '7' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_open_qp' + }, + '30125' => { + 'Header' => undef, + 'Line' => '314', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '10052' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '23214' + }, + '2' => { + 'name' => 'cmd', + 'type' => '30376' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_query_srq' + }, + '30381' => { + 'Header' => undef, + 'Line' => '296', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '10052' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '23214' + }, + '2' => { + 'name' => 'srq_attr_mask', + 'type' => '161' + }, + '3' => { + 'name' => 'cmd', + 'type' => '30584' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_modify_srq' + }, + '308201' => { + 'Header' => undef, + 'Line' => '310', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'addr', + 'type' => '82' + }, + '2' => { + 'name' => 'length', + 'type' => '53' + }, + '3' => { + 'name' => 'iova', + 'type' => '965' + }, + '4' => { + 'name' => 'access', + 'type' => '70' + } + }, + 'Return' => '11186', + 'ShortName' => 'ibv_reg_mr_iova2' + }, + '308731' => { + 'Alias' => '__ibv_register_driver_1_1', + 'Header' => undef, + 'Line' => '979', + 'Param' => { + '0' => { + 'name' => 'name', + 'type' => '74066' + }, + '1' => { + 'name' => 'init_func', + 'type' => '307629' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_register_driver' + }, + '308783' => { + 'Alias' => '__ibv_detach_mcast_1_0', + 'Header' => undef, + 'Line' => '972', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '307544' + }, + '1' => { + 'name' => 'gid', + 'type' => '97951' + }, + '2' => { + 'name' => 'lid', + 'type' => '941' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_detach_mcast' + }, + '308908' => { + 'Alias' => '__ibv_attach_mcast_1_0', + 'Header' => undef, + 'Line' => '965', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '307544' + }, + '1' => { + 'name' => 'gid', + 'type' => '97951' + }, + '2' => { + 'name' => 'lid', + 'type' => '941' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_attach_mcast' + }, + '309033' => { + 'Alias' => '__ibv_destroy_ah_1_0', + 'Header' => undef, + 'Line' => '951', + 'Param' => { + '0' => { + 'name' => 'ah', + 'type' => '306505' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_ah' + }, + '309139' => { + 'Alias' => '__ibv_create_ah_1_0', + 'Header' => undef, + 'Line' => '927', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '305926' + }, + '1' => { + 'name' => 'attr', + 'type' => '23194' + } + }, + 'Return' => '306505', + 'ShortName' => 'ibv_create_ah' + }, + '30915' => { + 'Header' => undef, + 'Line' => '253', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '9734' + }, + '1' => { + 'name' => 'cqe', + 'type' => '161' + }, + '2' => { + 'name' => 'cmd', + 'type' => '31177' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '4' => { + 'name' => 'resp', + 'type' => '31182' + }, + '5' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_resize_cq' + }, + '309319' => { + 'Alias' => '__ibv_destroy_qp_1_0', + 'Header' => undef, + 'Line' => '913', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '307544' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_qp' + }, + '309425' => { + 'Alias' => '__ibv_modify_qp_1_0', + 'Header' => undef, + 'Line' => '904', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '307544' + }, + '1' => { + 'name' => 'attr', + 'type' => '23209' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_modify_qp' + }, + '309546' => { + 'Alias' => '__ibv_query_qp_1_0', + 'Header' => undef, + 'Line' => '881', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '307544' + }, + '1' => { + 'name' => 'attr', + 'type' => '23209' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '161' + }, + '3' => { + 'name' => 'init_attr', + 'type' => '309747' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_qp' + }, + '309752' => { + 'Alias' => '__ibv_create_qp_1_0', + 'Header' => undef, + 'Line' => '836', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '305926' + }, + '1' => { + 'name' => 'qp_init_attr', + 'type' => '309747' + } + }, + 'Return' => '307544', + 'ShortName' => 'ibv_create_qp' + }, + '309966' => { + 'Alias' => '__ibv_destroy_srq_1_0', + 'Header' => undef, + 'Line' => '822', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '306289' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_srq' + }, + '310073' => { + 'Alias' => '__ibv_query_srq_1_0', + 'Header' => undef, + 'Line' => '814', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '306289' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '23214' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_srq' + }, + '310168' => { + 'Alias' => '__ibv_modify_srq_1_0', + 'Header' => undef, + 'Line' => '805', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '306289' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '23214' + }, + '2' => { + 'name' => 'srq_attr_mask', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_modify_srq' + }, + '310290' => { + 'Alias' => '__ibv_create_srq_1_0', + 'Header' => undef, + 'Line' => '777', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '305926' + }, + '1' => { + 'name' => 'srq_init_attr', + 'type' => '67151' + } + }, + 'Return' => '306289', + 'ShortName' => 'ibv_create_srq' + }, + '310471' => { + 'Alias' => '__ibv_ack_cq_events_1_0', + 'Header' => undef, + 'Line' => '769', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '306284' + }, + '1' => { + 'name' => 'nevents', + 'type' => '70' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_ack_cq_events' + }, + '310561' => { + 'Alias' => '__ibv_get_cq_event_1_0', + 'Header' => undef, + 'Line' => '749', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '15165' + }, + '1' => { + 'name' => 'cq', + 'type' => '310754' + }, + '2' => { + 'name' => 'cq_context', + 'type' => '152688' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_get_cq_event' + }, + '310759' => { + 'Alias' => '__ibv_destroy_cq_1_0', + 'Header' => undef, + 'Line' => '735', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '306284' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_cq' + }, + '310865' => { + 'Alias' => '__ibv_resize_cq_1_0', + 'Header' => undef, + 'Line' => '728', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '306284' + }, + '1' => { + 'name' => 'cqe', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_resize_cq' + }, + '310959' => { + 'Alias' => '__ibv_create_cq_1_0', + 'Header' => undef, + 'Line' => '699', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '305830' + }, + '1' => { + 'name' => 'cqe', + 'type' => '161' + }, + '2' => { + 'name' => 'cq_context', + 'type' => '82' + }, + '3' => { + 'name' => 'channel', + 'type' => '15165' + }, + '4' => { + 'name' => 'comp_vector', + 'type' => '161' + } + }, + 'Return' => '306284', + 'ShortName' => 'ibv_create_cq' + }, + '311218' => { + 'Alias' => '__ibv_dereg_mr_1_0', + 'Header' => undef, + 'Line' => '685', + 'Param' => { + '0' => { + 'name' => 'mr', + 'type' => '311324' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_dereg_mr' + }, + '311329' => { + 'Alias' => '__ibv_reg_mr_1_0', + 'Header' => undef, + 'Line' => '658', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '305926' + }, + '1' => { + 'name' => 'addr', + 'type' => '82' + }, + '2' => { + 'name' => 'length', + 'type' => '53' + }, + '3' => { + 'name' => 'access', + 'type' => '161' + } + }, + 'Return' => '311324', + 'ShortName' => 'ibv_reg_mr' + }, + '311655' => { + 'Alias' => '__ibv_dealloc_pd_1_0', + 'Header' => undef, + 'Line' => '644', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '305926' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_dealloc_pd' + }, + '311761' => { + 'Alias' => '__ibv_alloc_pd_1_0', + 'Header' => undef, + 'Line' => '621', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '305830' + } + }, + 'Return' => '305926', + 'ShortName' => 'ibv_alloc_pd' + }, + '31187' => { + 'Header' => undef, + 'Line' => '240', + 'Param' => { + '0' => { + 'name' => 'ibcq', + 'type' => '9734' + }, + '1' => { + 'name' => 'solicited_only', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_req_notify_cq' + }, + '311910' => { + 'Alias' => '__ibv_query_pkey_1_0', + 'Header' => undef, + 'Line' => '612', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '305830' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'index', + 'type' => '161' + }, + '3' => { + 'name' => 'pkey', + 'type' => '308366' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_pkey' + }, + '312062' => { + 'Alias' => '__ibv_query_gid_1_0', + 'Header' => undef, + 'Line' => '603', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '305830' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'index', + 'type' => '161' + }, + '3' => { + 'name' => 'gid', + 'type' => '97951' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_gid' + }, + '312214' => { + 'Alias' => '__ibv_query_port_1_0', + 'Header' => undef, + 'Line' => '594', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '305830' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'port_attr', + 'type' => '63788' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_port' + }, + '312603' => { + 'Alias' => '__ibv_query_device_1_0', + 'Header' => undef, + 'Line' => '586', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '305830' + }, + '1' => { + 'name' => 'device_attr', + 'type' => '18040' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_device' + }, + '312698' => { + 'Alias' => '__ibv_ack_async_event_1_0', + 'Header' => undef, + 'Line' => '549', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '66975' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_ack_async_event' + }, + '312800' => { + 'Header' => undef, + 'Line' => '510', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '305830' + }, + '1' => { + 'name' => 'event', + 'type' => '66975' + } + }, + 'Return' => '161', + 'ShortName' => '__ibv_get_async_event_1_0' + }, + '312858' => { + 'Alias' => '__ibv_close_device_1_0', + 'Header' => undef, + 'Line' => '496', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '305830' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_close_device' + }, + '312965' => { + 'Alias' => '__ibv_open_device_1_0', + 'Header' => undef, + 'Line' => '467', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '307624' + } + }, + 'Return' => '305830', + 'ShortName' => 'ibv_open_device' + }, + '31328' => { + 'Header' => undef, + 'Line' => '194', + 'Param' => { + '0' => { + 'name' => 'ibcq', + 'type' => '9734' + }, + '1' => { + 'name' => 'ne', + 'type' => '161' + }, + '2' => { + 'name' => 'wc', + 'type' => '18205' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_poll_cq' + }, + '314150' => { + 'Alias' => '__ibv_get_device_guid_1_0', + 'Header' => undef, + 'Line' => '294', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '307624' + } + }, + 'Return' => '1061', + 'ShortName' => 'ibv_get_device_guid' + }, + '314217' => { + 'Alias' => '__ibv_get_device_name_1_0', + 'Header' => undef, + 'Line' => '287', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '307624' + } + }, + 'Return' => '74066', + 'ShortName' => 'ibv_get_device_name' + }, + '314284' => { + 'Alias' => '__ibv_free_device_list_1_0', + 'Header' => undef, + 'Line' => '272', + 'Param' => { + '0' => { + 'name' => 'list', + 'type' => '314401' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_free_device_list' + }, + '314406' => { + 'Alias' => '__ibv_get_device_list_1_0', + 'Header' => undef, + 'Line' => '231', + 'Param' => { + '0' => { + 'name' => 'num', + 'type' => '23549' + } + }, + 'Return' => '314401', + 'ShortName' => 'ibv_get_device_list' + }, + '31682' => { + 'Header' => undef, + 'Line' => '169', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'type', + 'type' => '11400' + }, + '2' => { + 'name' => 'mw', + 'type' => '13825' + }, + '3' => { + 'name' => 'cmd', + 'type' => '32019' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '32024' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_alloc_mw' + }, + '32029' => { + 'Header' => undef, + 'Line' => '140', + 'Param' => { + '0' => { + 'name' => 'vmr', + 'type' => '23204' + }, + '1' => { + 'name' => 'flags', + 'type' => '953' + }, + '10' => { + 'name' => 'resp_sz', + 'type' => '53' + }, + '2' => { + 'name' => 'addr', + 'type' => '82' + }, + '3' => { + 'name' => 'length', + 'type' => '53' + }, + '4' => { + 'name' => 'hca_va', + 'type' => '965' + }, + '5' => { + 'name' => 'access', + 'type' => '161' + }, + '6' => { + 'name' => 'pd', + 'type' => '11395' + }, + '7' => { + 'name' => 'cmd', + 'type' => '32418' + }, + '8' => { + 'name' => 'cmd_sz', + 'type' => '53' + }, + '9' => { + 'name' => 'resp', + 'type' => '32423' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_rereg_mr' + }, + '32428' => { + 'Header' => undef, + 'Line' => '99', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'addr', + 'type' => '82' + }, + '2' => { + 'name' => 'length', + 'type' => '53' + }, + '3' => { + 'name' => 'hca_va', + 'type' => '965' + }, + '4' => { + 'name' => 'access', + 'type' => '161' + }, + '5' => { + 'name' => 'vmr', + 'type' => '23204' + }, + '6' => { + 'name' => 'cmd', + 'type' => '32762' + }, + '7' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '8' => { + 'name' => 'resp', + 'type' => '32767' + }, + '9' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_reg_mr' + }, + '32772' => { + 'Header' => undef, + 'Line' => '67', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'xrcd', + 'type' => '22845' + }, + '2' => { + 'name' => 'vxrcd_size', + 'type' => '161' + }, + '3' => { + 'name' => 'attr', + 'type' => '18365' + }, + '4' => { + 'name' => 'cmd', + 'type' => '33068' + }, + '5' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '6' => { + 'name' => 'resp', + 'type' => '33073' + }, + '7' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_open_xrcd' + }, + '33078' => { + 'Header' => undef, + 'Line' => '50', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'pd', + 'type' => '11395' + }, + '2' => { + 'name' => 'cmd', + 'type' => '33343' + }, + '3' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '4' => { + 'name' => 'resp', + 'type' => '33348' + }, + '5' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_alloc_pd' + }, + '333929' => { + 'Header' => undef, + 'Line' => '589', + 'Param' => { + '0' => { + 'name' => 'vctx', + 'type' => '90302' + }, + '1' => { + 'name' => 'ops', + 'type' => '333953' + } + }, + 'Return' => '1', + 'ShortName' => 'verbs_set_ops' + }, + '334305' => { + 'Alias' => '__ibv_ack_async_event_1_1', + 'Header' => undef, + 'Line' => '498', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '66975' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_ack_async_event' + }, + '334611' => { + 'Alias' => '__ibv_get_async_event_1_1', + 'Header' => undef, + 'Line' => '452', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'event', + 'type' => '66975' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_get_async_event' + }, + '334916' => { + 'Alias' => '__ibv_close_device_1_1', + 'Header' => undef, + 'Line' => '442', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_close_device' + }, + '335103' => { + 'Header' => undef, + 'Line' => '432', + 'Param' => { + '0' => { + 'name' => 'context_ex', + 'type' => '90302' + } + }, + 'Return' => '1', + 'ShortName' => 'verbs_uninit_context' + }, + '335206' => { + 'Header' => undef, + 'Line' => '370', + 'Param' => { + '0' => { + 'name' => 'cmd_fd', + 'type' => '161' + } + }, + 'Return' => '8991', + 'ShortName' => 'ibv_import_device' + }, + '335650' => { + 'Alias' => '__ibv_open_device_1_1', + 'Header' => undef, + 'Line' => '363', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '17378' + } + }, + 'Return' => '8991', + 'ShortName' => 'ibv_open_device' + }, + '335731' => { + 'Header' => undef, + 'Line' => '323', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '17378' + }, + '1' => { + 'name' => 'private_data', + 'type' => '82' + } + }, + 'Return' => '8991', + 'ShortName' => 'verbs_open_device' + }, + '336029' => { + 'Header' => undef, + 'Line' => '265', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '17378' + }, + '1' => { + 'name' => 'cmd_fd', + 'type' => '161' + }, + '2' => { + 'name' => 'alloc_size', + 'type' => '53' + }, + '3' => { + 'name' => 'context_offset', + 'type' => '90302' + }, + '4' => { + 'name' => 'driver_id', + 'type' => '953' + } + }, + 'Return' => '82', + 'ShortName' => '_verbs_init_and_alloc_context' + }, + '337157' => { + 'Header' => undef, + 'Line' => '502', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '9734' + }, + '1' => { + 'name' => 'context', + 'type' => '8991' + }, + '2' => { + 'name' => 'channel', + 'type' => '15165' + }, + '3' => { + 'name' => 'cq_context', + 'type' => '82' + } + }, + 'Return' => '1', + 'ShortName' => 'verbs_init_cq' + }, + '337367' => { + 'Header' => undef, + 'Line' => '153', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '17378' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_get_device_index' + }, + '337433' => { + 'Alias' => '__ibv_get_device_guid_1_1', + 'Header' => undef, + 'Line' => '116', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '17378' + } + }, + 'Return' => '1061', + 'ShortName' => 'ibv_get_device_guid' + }, + '337948' => { + 'Alias' => '__ibv_get_device_name_1_1', + 'Header' => undef, + 'Line' => '109', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '17378' + } + }, + 'Return' => '74066', + 'ShortName' => 'ibv_get_device_name' + }, + '337995' => { + 'Alias' => '__ibv_free_device_list_1_1', + 'Header' => undef, + 'Line' => '98', + 'Param' => { + '0' => { + 'name' => 'list', + 'type' => '308651' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_free_device_list' + }, + '338095' => { + 'Alias' => '__ibv_get_device_list_1_1', + 'Header' => undef, + 'Line' => '54', + 'Param' => { + '0' => { + 'name' => 'num', + 'type' => '23549' + } + }, + 'Return' => '308651', + 'ShortName' => 'ibv_get_device_list' + }, + '366776' => { + 'Header' => undef, + 'Line' => '136', + 'Param' => { + '0' => { + 'name' => 'opcode', + 'type' => '13213' + } + }, + 'Return' => '74066', + 'ShortName' => 'ibv_wr_opcode_str' + }, + '366869' => { + 'Header' => undef, + 'Line' => '101', + 'Param' => { + '0' => { + 'name' => 'status', + 'type' => '10257' + } + }, + 'Return' => '74066', + 'ShortName' => 'ibv_wc_status_str' + }, + '366962' => { + 'Header' => undef, + 'Line' => '70', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '54966' + } + }, + 'Return' => '74066', + 'ShortName' => 'ibv_event_type_str' + }, + '367055' => { + 'Header' => undef, + 'Line' => '53', + 'Param' => { + '0' => { + 'name' => 'port_state', + 'type' => '54577' + } + }, + 'Return' => '74066', + 'ShortName' => 'ibv_port_state_str' + }, + '367148' => { + 'Header' => undef, + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'node_type', + 'type' => '8728' + } + }, + 'Return' => '74066', + 'ShortName' => 'ibv_node_type_str' + }, + '369852' => { + 'Header' => undef, + 'Line' => '48', + 'Return' => '74066', + 'ShortName' => 'ibv_get_sysfs_path' + }, + '392679' => { + 'Header' => undef, + 'Line' => '125', + 'Return' => '161', + 'ShortName' => 'ibv_fork_init' + }, + '392805' => { + 'Header' => undef, + 'Line' => '108', + 'Param' => { + '0' => { + 'name' => 'dir', + 'type' => '74066' + }, + '1' => { + 'name' => 'file', + 'type' => '74066' + }, + '2' => { + 'name' => 'buf', + 'type' => '221' + }, + '3' => { + 'name' => 'size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_read_sysfs_file' + }, + '398751' => { + 'Header' => undef, + 'Line' => '240', + 'Param' => { + '0' => { + 'name' => 'ops', + 'type' => '90247' + } + }, + 'Return' => '1', + 'ShortName' => 'verbs_register_driver_34' + }, + '401573' => { + 'Header' => undef, + 'Line' => '66', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '90302' + }, + '1' => { + 'name' => 'level', + 'type' => '953' + }, + '2' => { + 'name' => 'fmt', + 'type' => '74066' + }, + '3' => { + 'type' => '-1' + } + }, + 'Return' => '1', + 'ShortName' => '__verbs_log' + }, + '40495' => { + 'Header' => undef, + 'Line' => '35', + 'Param' => { + '0' => { + 'name' => 'ah', + 'type' => '13672' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_ah' + }, + '405551' => { + 'Header' => undef, + 'Line' => '117', + 'Param' => { + '0' => { + 'name' => 'dst', + 'type' => '405752' + }, + '1' => { + 'name' => 'src', + 'type' => '405757' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_copy_path_rec_to_kern' + }, + '405762' => { + 'Header' => undef, + 'Line' => '92', + 'Param' => { + '0' => { + 'name' => 'dst', + 'type' => '405757' + }, + '1' => { + 'name' => 'src', + 'type' => '405752' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_copy_path_rec_from_kern' + }, + '405957' => { + 'Header' => undef, + 'Line' => '56', + 'Param' => { + '0' => { + 'name' => 'dst', + 'type' => '23209' + }, + '1' => { + 'name' => 'src', + 'type' => '406087' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_copy_qp_attr_from_kern' + }, + '406092' => { + 'Header' => undef, + 'Line' => '39', + 'Param' => { + '0' => { + 'name' => 'dst', + 'type' => '23194' + }, + '1' => { + 'name' => 'src', + 'type' => '406223' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_copy_ah_attr_from_kern' + }, + '410660' => { + 'Header' => undef, + 'Line' => '690', + 'Param' => { + '0' => { + 'name' => 'base', + 'type' => '82' + }, + '1' => { + 'name' => 'size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_dofork_range' + }, + '410906' => { + 'Header' => undef, + 'Line' => '689', + 'Param' => { + '0' => { + 'name' => 'base', + 'type' => '82' + }, + '1' => { + 'name' => 'size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_dontfork_range' + }, + '412905' => { + 'Header' => undef, + 'Line' => '178', + 'Return' => '409076', + 'ShortName' => 'ibv_is_fork_initialized' + }, + '456242' => { + 'Header' => undef, + 'Line' => '1125', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'ece', + 'type' => '67451' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_ece' + }, + '456435' => { + 'Header' => undef, + 'Line' => '1115', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'ece', + 'type' => '67451' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_set_ece' + }, + '456645' => { + 'Header' => undef, + 'Line' => '1031', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'attr', + 'type' => '23194' + }, + '2' => { + 'name' => 'eth_mac', + 'type' => '58192' + }, + '3' => { + 'name' => 'vid', + 'type' => '457545' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_resolve_eth_l2_from_gid' + }, + '457660' => { + 'Alias' => '__ibv_detach_mcast_1_1', + 'Header' => undef, + 'Line' => '990', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'gid', + 'type' => '23189' + }, + '2' => { + 'name' => 'lid', + 'type' => '941' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_detach_mcast' + }, + '457884' => { + 'Alias' => '__ibv_attach_mcast_1_1', + 'Header' => undef, + 'Line' => '983', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'gid', + 'type' => '23189' + }, + '2' => { + 'name' => 'lid', + 'type' => '941' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_attach_mcast' + }, + '458108' => { + 'Alias' => '__ibv_destroy_ah_1_1', + 'Header' => undef, + 'Line' => '976', + 'Param' => { + '0' => { + 'name' => 'ah', + 'type' => '13672' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_ah' + }, + '458274' => { + 'Header' => undef, + 'Line' => '963', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'wc', + 'type' => '18205' + }, + '2' => { + 'name' => 'grh', + 'type' => '458514' + }, + '3' => { + 'name' => 'port_num', + 'type' => '929' + } + }, + 'Return' => '13672', + 'ShortName' => 'ibv_create_ah_from_wc' + }, + '458519' => { + 'Header' => undef, + 'Line' => '935', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'wc', + 'type' => '18205' + }, + '3' => { + 'name' => 'grh', + 'type' => '458514' + }, + '4' => { + 'name' => 'ah_attr', + 'type' => '23194' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_init_ah_from_wc' + }, + '460406' => { + 'Header' => undef, + 'Line' => '755', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'index', + 'type' => '70' + }, + '3' => { + 'name' => 'type', + 'type' => '97820' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_gid_type' + }, + '460633' => { + 'Alias' => '__ibv_create_ah_1_1', + 'Header' => undef, + 'Line' => '741', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'attr', + 'type' => '23194' + } + }, + 'Return' => '13672', + 'ShortName' => 'ibv_create_ah' + }, + '460844' => { + 'Alias' => '__ibv_destroy_qp_1_1', + 'Header' => undef, + 'Line' => '734', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_qp' + }, + '461010' => { + 'Alias' => '__ibv_modify_qp_1_1', + 'Header' => undef, + 'Line' => '717', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'attr', + 'type' => '23209' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_modify_qp' + }, + '461247' => { + 'Header' => undef, + 'Line' => '695', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'op', + 'type' => '13213' + }, + '2' => { + 'name' => 'flags', + 'type' => '953' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_qp_data_in_order' + }, + '461461' => { + 'Alias' => '__ibv_query_qp_1_1', + 'Header' => undef, + 'Line' => '677', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + }, + '1' => { + 'name' => 'attr', + 'type' => '23209' + }, + '2' => { + 'name' => 'attr_mask', + 'type' => '161' + }, + '3' => { + 'name' => 'init_attr', + 'type' => '23199' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_qp' + }, + '461725' => { + 'Header' => undef, + 'Line' => '668', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9935' + } + }, + 'Return' => '14644', + 'ShortName' => 'ibv_qp_to_qp_ex' + }, + '461799' => { + 'Alias' => '__ibv_create_qp_1_1', + 'Header' => undef, + 'Line' => '658', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'qp_init_attr', + 'type' => '23199' + } + }, + 'Return' => '9935', + 'ShortName' => 'ibv_create_qp' + }, + '462003' => { + 'Alias' => '__ibv_destroy_srq_1_1', + 'Header' => undef, + 'Line' => '651', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '10052' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_srq' + }, + '462170' => { + 'Alias' => '__ibv_query_srq_1_1', + 'Header' => undef, + 'Line' => '644', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '10052' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '23214' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_srq' + }, + '462364' => { + 'Alias' => '__ibv_modify_srq_1_1', + 'Header' => undef, + 'Line' => '635', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '10052' + }, + '1' => { + 'name' => 'srq_attr', + 'type' => '23214' + }, + '2' => { + 'name' => 'srq_attr_mask', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_modify_srq' + }, + '462585' => { + 'Alias' => '__ibv_create_srq_1_1', + 'Header' => undef, + 'Line' => '615', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'srq_init_attr', + 'type' => '67151' + } + }, + 'Return' => '10052', + 'ShortName' => 'ibv_create_srq' + }, + '462831' => { + 'Alias' => '__ibv_ack_cq_events_1_1', + 'Header' => undef, + 'Line' => '605', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '9734' + }, + '1' => { + 'name' => 'nevents', + 'type' => '70' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_ack_cq_events' + }, + '462973' => { + 'Alias' => '__ibv_get_cq_event_1_1', + 'Header' => undef, + 'Line' => '587', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '15165' + }, + '1' => { + 'name' => 'cq', + 'type' => '308074' + }, + '2' => { + 'name' => 'cq_context', + 'type' => '152688' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_get_cq_event' + }, + '463282' => { + 'Alias' => '__ibv_destroy_cq_1_1', + 'Header' => undef, + 'Line' => '567', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '9734' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_cq' + }, + '463494' => { + 'Alias' => '__ibv_resize_cq_1_1', + 'Header' => undef, + 'Line' => '560', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '9734' + }, + '1' => { + 'name' => 'cqe', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_resize_cq' + }, + '463687' => { + 'Alias' => '__ibv_create_cq_1_1', + 'Header' => undef, + 'Line' => '545', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'cqe', + 'type' => '161' + }, + '2' => { + 'name' => 'cq_context', + 'type' => '82' + }, + '3' => { + 'name' => 'channel', + 'type' => '15165' + }, + '4' => { + 'name' => 'comp_vector', + 'type' => '161' + } + }, + 'Return' => '9734', + 'ShortName' => 'ibv_create_cq' + }, + '464014' => { + 'Header' => undef, + 'Line' => '522', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '15165' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_destroy_comp_channel' + }, + '464231' => { + 'Header' => undef, + 'Line' => '498', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + } + }, + 'Return' => '15165', + 'ShortName' => 'ibv_create_comp_channel' + }, + '464488' => { + 'Alias' => '__ibv_dereg_mr_1_1', + 'Header' => undef, + 'Line' => '481', + 'Param' => { + '0' => { + 'name' => 'mr', + 'type' => '11186' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_dereg_mr' + }, + '464754' => { + 'Alias' => '__ibv_rereg_mr_1_1', + 'Header' => undef, + 'Line' => '416', + 'Param' => { + '0' => { + 'name' => 'mr', + 'type' => '11186' + }, + '1' => { + 'name' => 'flags', + 'type' => '161' + }, + '2' => { + 'name' => 'pd', + 'type' => '11395' + }, + '3' => { + 'name' => 'addr', + 'type' => '82' + }, + '4' => { + 'name' => 'length', + 'type' => '53' + }, + '5' => { + 'name' => 'access', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_rereg_mr' + }, + '465247' => { + 'Header' => undef, + 'Line' => '398', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'offset', + 'type' => '965' + }, + '2' => { + 'name' => 'length', + 'type' => '53' + }, + '3' => { + 'name' => 'iova', + 'type' => '965' + }, + '4' => { + 'name' => 'fd', + 'type' => '161' + }, + '5' => { + 'name' => 'access', + 'type' => '161' + } + }, + 'Return' => '11186', + 'ShortName' => 'ibv_reg_dmabuf_mr' + }, + '465563' => { + 'Header' => undef, + 'Line' => '393', + 'Param' => { + '0' => { + 'name' => 'dm', + 'type' => '53174' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_unimport_dm' + }, + '465725' => { + 'Header' => undef, + 'Line' => '385', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'dm_handle', + 'type' => '953' + } + }, + 'Return' => '53174', + 'ShortName' => 'ibv_import_dm' + }, + '465919' => { + 'Header' => undef, + 'Line' => '377', + 'Param' => { + '0' => { + 'name' => 'mr', + 'type' => '11186' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_unimport_mr' + }, + '466081' => { + 'Header' => undef, + 'Line' => '369', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'mr_handle', + 'type' => '953' + } + }, + 'Return' => '11186', + 'ShortName' => 'ibv_import_mr' + }, + '466274' => { + 'Header' => undef, + 'Line' => '360', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + } + }, + 'Return' => '1', + 'ShortName' => 'ibv_unimport_pd' + }, + '466436' => { + 'Header' => undef, + 'Line' => '353', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'pd_handle', + 'type' => '953' + } + }, + 'Return' => '11395', + 'ShortName' => 'ibv_import_pd' + }, + '466630' => { + 'Header' => undef, + 'Line' => '347', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'addr', + 'type' => '82' + }, + '2' => { + 'name' => 'length', + 'type' => '53' + }, + '3' => { + 'name' => 'iova', + 'type' => '965' + }, + '4' => { + 'name' => 'access', + 'type' => '161' + } + }, + 'Return' => '11186', + 'ShortName' => 'ibv_reg_mr_iova' + }, + '466813' => { + 'Alias' => '__ibv_reg_mr_1_1', + 'Header' => undef, + 'Line' => '338', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + }, + '1' => { + 'name' => 'addr', + 'type' => '82' + }, + '2' => { + 'name' => 'length', + 'type' => '53' + }, + '3' => { + 'name' => 'access', + 'type' => '161' + } + }, + 'Return' => '11186', + 'ShortName' => 'ibv_reg_mr' + }, + '467380' => { + 'Alias' => '__ibv_dealloc_pd_1_1', + 'Header' => undef, + 'Line' => '303', + 'Param' => { + '0' => { + 'name' => 'pd', + 'type' => '11395' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_dealloc_pd' + }, + '467546' => { + 'Alias' => '__ibv_alloc_pd_1_1', + 'Header' => undef, + 'Line' => '290', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + } + }, + 'Return' => '11395', + 'ShortName' => 'ibv_alloc_pd' + }, + '467731' => { + 'Alias' => '__ibv_get_pkey_index_1_5', + 'Header' => undef, + 'Line' => '274', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'pkey', + 'type' => '1037' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_get_pkey_index' + }, + '467934' => { + 'Alias' => '__ibv_query_pkey_1_1', + 'Header' => undef, + 'Line' => '254', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'index', + 'type' => '161' + }, + '3' => { + 'name' => 'pkey', + 'type' => '308366' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_pkey' + }, + '468240' => { + 'Alias' => '__ibv_query_gid_1_1', + 'Header' => undef, + 'Line' => '231', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'index', + 'type' => '161' + }, + '3' => { + 'name' => 'gid', + 'type' => '97951' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_gid' + }, + '468610' => { + 'Alias' => '__ibv_query_port_1_1', + 'Header' => undef, + 'Line' => '221', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'port_num', + 'type' => '929' + }, + '2' => { + 'name' => 'port_attr', + 'type' => '18075' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_port' + }, + '468836' => { + 'Alias' => '__ibv_query_device_1_1', + 'Header' => undef, + 'Line' => '163', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'device_attr', + 'type' => '18040' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_query_device' + }, + '469037' => { + 'Header' => undef, + 'Line' => '133', + 'Param' => { + '0' => { + 'name' => 'mbps', + 'type' => '161' + } + }, + 'Return' => '442284', + 'ShortName' => 'mbps_to_ibv_rate' + }, + '469086' => { + 'Header' => undef, + 'Line' => '103', + 'Param' => { + '0' => { + 'name' => 'rate', + 'type' => '442284' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_rate_to_mbps' + }, + '469139' => { + 'Header' => undef, + 'Line' => '81', + 'Param' => { + '0' => { + 'name' => 'mult', + 'type' => '161' + } + }, + 'Return' => '442284', + 'ShortName' => 'mult_to_ibv_rate' + }, + '469192' => { + 'Header' => undef, + 'Line' => '59', + 'Param' => { + '0' => { + 'name' => 'rate', + 'type' => '442284' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_rate_to_mult' + }, + '47303' => { + 'Header' => undef, + 'Line' => '193', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'cmd', + 'type' => '40016' + } + }, + 'Return' => '161', + 'ShortName' => 'execute_ioctl' + }, + '47329' => { + 'Header' => undef, + 'Line' => '125', + 'Param' => { + '0' => { + 'name' => 'num_attrs', + 'type' => '70' + }, + '1' => { + 'name' => 'link', + 'type' => '40016' + } + }, + 'Return' => '70', + 'ShortName' => '__ioctl_final_num_attrs' + }, + '47355' => { + 'Header' => undef, + 'Line' => '79', + 'Param' => { + '0' => { + 'name' => 'vcounters', + 'type' => '48314' + }, + '1' => { + 'name' => 'counters_value', + 'type' => '46784' + }, + '2' => { + 'name' => 'ncounters', + 'type' => '953' + }, + '3' => { + 'name' => 'flags', + 'type' => '953' + }, + '4' => { + 'name' => 'link', + 'type' => '40016' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_read_counters' + }, + '48338' => { + 'Header' => undef, + 'Line' => '64', + 'Param' => { + '0' => { + 'name' => 'vcounters', + 'type' => '48314' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_counters' + }, + '48793' => { + 'Header' => undef, + 'Line' => '38', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'init_attr', + 'type' => '46789' + }, + '2' => { + 'name' => 'vcounters', + 'type' => '48314' + }, + '3' => { + 'name' => 'link', + 'type' => '40016' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_counters' + }, + '68538' => { + 'Header' => undef, + 'Line' => '176', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '9734' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_destroy_cq' + }, + '69362' => { + 'Header' => undef, + 'Line' => '144', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'cq_attr', + 'type' => '69598' + }, + '2' => { + 'name' => 'cq', + 'type' => '69603' + }, + '3' => { + 'name' => 'cmd', + 'type' => '69608' + }, + '4' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '5' => { + 'name' => 'resp', + 'type' => '69613' + }, + '6' => { + 'name' => 'resp_size', + 'type' => '53' + }, + '7' => { + 'name' => 'cmd_flags', + 'type' => '953' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_cq_ex' + }, + '69633' => { + 'Header' => undef, + 'Line' => '130', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'cqe', + 'type' => '161' + }, + '2' => { + 'name' => 'channel', + 'type' => '15165' + }, + '3' => { + 'name' => 'comp_vector', + 'type' => '161' + }, + '4' => { + 'name' => 'cq', + 'type' => '9734' + }, + '5' => { + 'name' => 'cmd', + 'type' => '70075' + }, + '6' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '7' => { + 'name' => 'resp', + 'type' => '70080' + }, + '8' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_create_cq' + }, + '91748' => { + 'Header' => undef, + 'Line' => '714', + 'Param' => { + '0' => { + 'name' => 'buf', + 'type' => '221' + }, + '1' => { + 'name' => 'size', + 'type' => '53' + }, + '2' => { + 'name' => 'sysfs_dev', + 'type' => '90267' + }, + '3' => { + 'name' => 'fnfmt', + 'type' => '74066' + }, + '4' => { + 'type' => '-1' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_read_ibdev_sysfs_file' + }, + '92056' => { + 'Header' => undef, + 'Line' => '522', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'input', + 'type' => '64638' + }, + '2' => { + 'name' => 'attr', + 'type' => '64643' + }, + '3' => { + 'name' => 'attr_size', + 'type' => '53' + }, + '4' => { + 'name' => 'resp', + 'type' => '92641' + }, + '5' => { + 'name' => 'resp_size', + 'type' => '92646' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_query_device_any' + }, + '92651' => { + 'Header' => undef, + 'Line' => '485', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'entries', + 'type' => '93899' + }, + '2' => { + 'name' => 'max_entries', + 'type' => '53' + }, + '3' => { + 'name' => 'flags', + 'type' => '953' + }, + '4' => { + 'name' => 'entry_size', + 'type' => '53' + } + }, + 'Return' => '254', + 'ShortName' => '_ibv_query_gid_table' + }, + '93921' => { + 'Header' => undef, + 'Line' => '474', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'port_num', + 'type' => '953' + }, + '2' => { + 'name' => 'gid_index', + 'type' => '953' + }, + '3' => { + 'name' => 'entry', + 'type' => '93899' + }, + '4' => { + 'name' => 'flags', + 'type' => '953' + }, + '5' => { + 'name' => 'entry_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => '_ibv_query_gid_ex' + }, + '98104' => { + 'Header' => undef, + 'Line' => '187', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '8991' + }, + '1' => { + 'name' => 'driver', + 'type' => '40016' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_query_context' + }, + '98893' => { + 'Header' => undef, + 'Line' => '175', + 'Param' => { + '0' => { + 'name' => 'context_ex', + 'type' => '90302' + }, + '1' => { + 'name' => 'cmd', + 'type' => '100234' + }, + '2' => { + 'name' => 'cmd_size', + 'type' => '53' + }, + '3' => { + 'name' => 'resp', + 'type' => '100239' + }, + '4' => { + 'name' => 'resp_size', + 'type' => '53' + } + }, + 'Return' => '161', + 'ShortName' => 'ibv_cmd_get_context' + } + }, + 'SymbolVersion' => { + '__ibv_ack_async_event_1_0' => 'ibv_ack_async_event@IBVERBS_1.0', + '__ibv_ack_async_event_1_1' => 'ibv_ack_async_event@@IBVERBS_1.1', + '__ibv_ack_cq_events_1_0' => 'ibv_ack_cq_events@IBVERBS_1.0', + '__ibv_ack_cq_events_1_1' => 'ibv_ack_cq_events@@IBVERBS_1.1', + '__ibv_alloc_pd_1_0' => 'ibv_alloc_pd@IBVERBS_1.0', + '__ibv_alloc_pd_1_1' => 'ibv_alloc_pd@@IBVERBS_1.1', + '__ibv_attach_mcast_1_0' => 'ibv_attach_mcast@IBVERBS_1.0', + '__ibv_attach_mcast_1_1' => 'ibv_attach_mcast@@IBVERBS_1.1', + '__ibv_close_device_1_0' => 'ibv_close_device@IBVERBS_1.0', + '__ibv_close_device_1_1' => 'ibv_close_device@@IBVERBS_1.1', + '__ibv_create_ah_1_0' => 'ibv_create_ah@IBVERBS_1.0', + '__ibv_create_ah_1_1' => 'ibv_create_ah@@IBVERBS_1.1', + '__ibv_create_cq_1_0' => 'ibv_create_cq@IBVERBS_1.0', + '__ibv_create_cq_1_1' => 'ibv_create_cq@@IBVERBS_1.1', + '__ibv_create_qp_1_0' => 'ibv_create_qp@IBVERBS_1.0', + '__ibv_create_qp_1_1' => 'ibv_create_qp@@IBVERBS_1.1', + '__ibv_create_srq_1_0' => 'ibv_create_srq@IBVERBS_1.0', + '__ibv_create_srq_1_1' => 'ibv_create_srq@@IBVERBS_1.1', + '__ibv_dealloc_pd_1_0' => 'ibv_dealloc_pd@IBVERBS_1.0', + '__ibv_dealloc_pd_1_1' => 'ibv_dealloc_pd@@IBVERBS_1.1', + '__ibv_dereg_mr_1_0' => 'ibv_dereg_mr@IBVERBS_1.0', + '__ibv_dereg_mr_1_1' => 'ibv_dereg_mr@@IBVERBS_1.1', + '__ibv_destroy_ah_1_0' => 'ibv_destroy_ah@IBVERBS_1.0', + '__ibv_destroy_ah_1_1' => 'ibv_destroy_ah@@IBVERBS_1.1', + '__ibv_destroy_cq_1_0' => 'ibv_destroy_cq@IBVERBS_1.0', + '__ibv_destroy_cq_1_1' => 'ibv_destroy_cq@@IBVERBS_1.1', + '__ibv_destroy_qp_1_0' => 'ibv_destroy_qp@IBVERBS_1.0', + '__ibv_destroy_qp_1_1' => 'ibv_destroy_qp@@IBVERBS_1.1', + '__ibv_destroy_srq_1_0' => 'ibv_destroy_srq@IBVERBS_1.0', + '__ibv_destroy_srq_1_1' => 'ibv_destroy_srq@@IBVERBS_1.1', + '__ibv_detach_mcast_1_0' => 'ibv_detach_mcast@IBVERBS_1.0', + '__ibv_detach_mcast_1_1' => 'ibv_detach_mcast@@IBVERBS_1.1', + '__ibv_free_device_list_1_0' => 'ibv_free_device_list@IBVERBS_1.0', + '__ibv_free_device_list_1_1' => 'ibv_free_device_list@@IBVERBS_1.1', + '__ibv_get_async_event_1_0' => 'ibv_get_async_event@IBVERBS_1.0', + '__ibv_get_async_event_1_1' => 'ibv_get_async_event@@IBVERBS_1.1', + '__ibv_get_cq_event_1_0' => 'ibv_get_cq_event@IBVERBS_1.0', + '__ibv_get_cq_event_1_1' => 'ibv_get_cq_event@@IBVERBS_1.1', + '__ibv_get_device_guid_1_0' => 'ibv_get_device_guid@IBVERBS_1.0', + '__ibv_get_device_guid_1_1' => 'ibv_get_device_guid@@IBVERBS_1.1', + '__ibv_get_device_list_1_0' => 'ibv_get_device_list@IBVERBS_1.0', + '__ibv_get_device_list_1_1' => 'ibv_get_device_list@@IBVERBS_1.1', + '__ibv_get_device_name_1_0' => 'ibv_get_device_name@IBVERBS_1.0', + '__ibv_get_device_name_1_1' => 'ibv_get_device_name@@IBVERBS_1.1', + '__ibv_get_pkey_index_1_5' => 'ibv_get_pkey_index@@IBVERBS_1.5', + '__ibv_modify_qp_1_0' => 'ibv_modify_qp@IBVERBS_1.0', + '__ibv_modify_qp_1_1' => 'ibv_modify_qp@@IBVERBS_1.1', + '__ibv_modify_srq_1_0' => 'ibv_modify_srq@IBVERBS_1.0', + '__ibv_modify_srq_1_1' => 'ibv_modify_srq@@IBVERBS_1.1', + '__ibv_open_device_1_0' => 'ibv_open_device@IBVERBS_1.0', + '__ibv_open_device_1_1' => 'ibv_open_device@@IBVERBS_1.1', + '__ibv_query_device_1_0' => 'ibv_query_device@IBVERBS_1.0', + '__ibv_query_device_1_1' => 'ibv_query_device@@IBVERBS_1.1', + '__ibv_query_gid_1_0' => 'ibv_query_gid@IBVERBS_1.0', + '__ibv_query_gid_1_1' => 'ibv_query_gid@@IBVERBS_1.1', + '__ibv_query_pkey_1_0' => 'ibv_query_pkey@IBVERBS_1.0', + '__ibv_query_pkey_1_1' => 'ibv_query_pkey@@IBVERBS_1.1', + '__ibv_query_port_1_0' => 'ibv_query_port@IBVERBS_1.0', + '__ibv_query_port_1_1' => 'ibv_query_port@@IBVERBS_1.1', + '__ibv_query_qp_1_0' => 'ibv_query_qp@IBVERBS_1.0', + '__ibv_query_qp_1_1' => 'ibv_query_qp@@IBVERBS_1.1', + '__ibv_query_srq_1_0' => 'ibv_query_srq@IBVERBS_1.0', + '__ibv_query_srq_1_1' => 'ibv_query_srq@@IBVERBS_1.1', + '__ibv_reg_mr_1_0' => 'ibv_reg_mr@IBVERBS_1.0', + '__ibv_reg_mr_1_1' => 'ibv_reg_mr@@IBVERBS_1.1', + '__ibv_register_driver_1_1' => 'ibv_register_driver@IBVERBS_1.1', + '__ibv_rereg_mr_1_1' => 'ibv_rereg_mr@@IBVERBS_1.1', + '__ibv_resize_cq_1_0' => 'ibv_resize_cq@IBVERBS_1.0', + '__ibv_resize_cq_1_1' => 'ibv_resize_cq@@IBVERBS_1.1', + '__ioctl_final_num_attrs' => '__ioctl_final_num_attrs@@IBVERBS_PRIVATE_34', + '__verbs_log' => '__verbs_log@@IBVERBS_PRIVATE_34', + '_ibv_query_gid_ex' => '_ibv_query_gid_ex@@IBVERBS_1.11', + '_ibv_query_gid_table' => '_ibv_query_gid_table@@IBVERBS_1.11', + '_verbs_init_and_alloc_context' => '_verbs_init_and_alloc_context@@IBVERBS_PRIVATE_34', + 'execute_ioctl' => 'execute_ioctl@@IBVERBS_PRIVATE_34', + 'ibv_cmd_advise_mr' => 'ibv_cmd_advise_mr@@IBVERBS_PRIVATE_34', + 'ibv_cmd_alloc_dm' => 'ibv_cmd_alloc_dm@@IBVERBS_PRIVATE_34', + 'ibv_cmd_alloc_mw' => 'ibv_cmd_alloc_mw@@IBVERBS_PRIVATE_34', + 'ibv_cmd_alloc_pd' => 'ibv_cmd_alloc_pd@@IBVERBS_PRIVATE_34', + 'ibv_cmd_attach_mcast' => 'ibv_cmd_attach_mcast@@IBVERBS_PRIVATE_34', + 'ibv_cmd_close_xrcd' => 'ibv_cmd_close_xrcd@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_ah' => 'ibv_cmd_create_ah@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_counters' => 'ibv_cmd_create_counters@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_cq' => 'ibv_cmd_create_cq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_cq_ex' => 'ibv_cmd_create_cq_ex@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_flow' => 'ibv_cmd_create_flow@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_flow_action_esp' => 'ibv_cmd_create_flow_action_esp@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_qp' => 'ibv_cmd_create_qp@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_qp_ex' => 'ibv_cmd_create_qp_ex@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_qp_ex2' => 'ibv_cmd_create_qp_ex2@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_rwq_ind_table' => 'ibv_cmd_create_rwq_ind_table@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_srq' => 'ibv_cmd_create_srq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_srq_ex' => 'ibv_cmd_create_srq_ex@@IBVERBS_PRIVATE_34', + 'ibv_cmd_create_wq' => 'ibv_cmd_create_wq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_dealloc_mw' => 'ibv_cmd_dealloc_mw@@IBVERBS_PRIVATE_34', + 'ibv_cmd_dealloc_pd' => 'ibv_cmd_dealloc_pd@@IBVERBS_PRIVATE_34', + 'ibv_cmd_dereg_mr' => 'ibv_cmd_dereg_mr@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_ah' => 'ibv_cmd_destroy_ah@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_counters' => 'ibv_cmd_destroy_counters@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_cq' => 'ibv_cmd_destroy_cq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_flow' => 'ibv_cmd_destroy_flow@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_flow_action' => 'ibv_cmd_destroy_flow_action@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_qp' => 'ibv_cmd_destroy_qp@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_rwq_ind_table' => 'ibv_cmd_destroy_rwq_ind_table@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_srq' => 'ibv_cmd_destroy_srq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_destroy_wq' => 'ibv_cmd_destroy_wq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_detach_mcast' => 'ibv_cmd_detach_mcast@@IBVERBS_PRIVATE_34', + 'ibv_cmd_free_dm' => 'ibv_cmd_free_dm@@IBVERBS_PRIVATE_34', + 'ibv_cmd_get_context' => 'ibv_cmd_get_context@@IBVERBS_PRIVATE_34', + 'ibv_cmd_modify_cq' => 'ibv_cmd_modify_cq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_modify_flow_action_esp' => 'ibv_cmd_modify_flow_action_esp@@IBVERBS_PRIVATE_34', + 'ibv_cmd_modify_qp' => 'ibv_cmd_modify_qp@@IBVERBS_PRIVATE_34', + 'ibv_cmd_modify_qp_ex' => 'ibv_cmd_modify_qp_ex@@IBVERBS_PRIVATE_34', + 'ibv_cmd_modify_srq' => 'ibv_cmd_modify_srq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_modify_wq' => 'ibv_cmd_modify_wq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_open_qp' => 'ibv_cmd_open_qp@@IBVERBS_PRIVATE_34', + 'ibv_cmd_open_xrcd' => 'ibv_cmd_open_xrcd@@IBVERBS_PRIVATE_34', + 'ibv_cmd_poll_cq' => 'ibv_cmd_poll_cq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_post_recv' => 'ibv_cmd_post_recv@@IBVERBS_PRIVATE_34', + 'ibv_cmd_post_send' => 'ibv_cmd_post_send@@IBVERBS_PRIVATE_34', + 'ibv_cmd_post_srq_recv' => 'ibv_cmd_post_srq_recv@@IBVERBS_PRIVATE_34', + 'ibv_cmd_query_context' => 'ibv_cmd_query_context@@IBVERBS_PRIVATE_34', + 'ibv_cmd_query_device_any' => 'ibv_cmd_query_device_any@@IBVERBS_PRIVATE_34', + 'ibv_cmd_query_mr' => 'ibv_cmd_query_mr@@IBVERBS_PRIVATE_34', + 'ibv_cmd_query_port' => 'ibv_cmd_query_port@@IBVERBS_PRIVATE_34', + 'ibv_cmd_query_qp' => 'ibv_cmd_query_qp@@IBVERBS_PRIVATE_34', + 'ibv_cmd_query_srq' => 'ibv_cmd_query_srq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_read_counters' => 'ibv_cmd_read_counters@@IBVERBS_PRIVATE_34', + 'ibv_cmd_reg_dm_mr' => 'ibv_cmd_reg_dm_mr@@IBVERBS_PRIVATE_34', + 'ibv_cmd_reg_dmabuf_mr' => 'ibv_cmd_reg_dmabuf_mr@@IBVERBS_PRIVATE_34', + 'ibv_cmd_reg_mr' => 'ibv_cmd_reg_mr@@IBVERBS_PRIVATE_34', + 'ibv_cmd_req_notify_cq' => 'ibv_cmd_req_notify_cq@@IBVERBS_PRIVATE_34', + 'ibv_cmd_rereg_mr' => 'ibv_cmd_rereg_mr@@IBVERBS_PRIVATE_34', + 'ibv_cmd_resize_cq' => 'ibv_cmd_resize_cq@@IBVERBS_PRIVATE_34', + 'ibv_copy_ah_attr_from_kern' => 'ibv_copy_ah_attr_from_kern@@IBVERBS_1.1', + 'ibv_copy_path_rec_from_kern' => 'ibv_copy_path_rec_from_kern@@IBVERBS_1.0', + 'ibv_copy_path_rec_to_kern' => 'ibv_copy_path_rec_to_kern@@IBVERBS_1.0', + 'ibv_copy_qp_attr_from_kern' => 'ibv_copy_qp_attr_from_kern@@IBVERBS_1.0', + 'ibv_create_ah_from_wc' => 'ibv_create_ah_from_wc@@IBVERBS_1.1', + 'ibv_create_comp_channel' => 'ibv_create_comp_channel@@IBVERBS_1.0', + 'ibv_destroy_comp_channel' => 'ibv_destroy_comp_channel@@IBVERBS_1.0', + 'ibv_dofork_range' => 'ibv_dofork_range@@IBVERBS_1.1', + 'ibv_dontfork_range' => 'ibv_dontfork_range@@IBVERBS_1.1', + 'ibv_event_type_str' => 'ibv_event_type_str@@IBVERBS_1.1', + 'ibv_fork_init' => 'ibv_fork_init@@IBVERBS_1.1', + 'ibv_get_device_index' => 'ibv_get_device_index@@IBVERBS_1.9', + 'ibv_get_sysfs_path' => 'ibv_get_sysfs_path@@IBVERBS_1.0', + 'ibv_import_device' => 'ibv_import_device@@IBVERBS_1.10', + 'ibv_import_dm' => 'ibv_import_dm@@IBVERBS_1.13', + 'ibv_import_mr' => 'ibv_import_mr@@IBVERBS_1.10', + 'ibv_import_pd' => 'ibv_import_pd@@IBVERBS_1.10', + 'ibv_init_ah_from_wc' => 'ibv_init_ah_from_wc@@IBVERBS_1.1', + 'ibv_is_fork_initialized' => 'ibv_is_fork_initialized@@IBVERBS_1.13', + 'ibv_node_type_str' => 'ibv_node_type_str@@IBVERBS_1.1', + 'ibv_port_state_str' => 'ibv_port_state_str@@IBVERBS_1.1', + 'ibv_qp_to_qp_ex' => 'ibv_qp_to_qp_ex@@IBVERBS_1.6', + 'ibv_query_ece' => 'ibv_query_ece@@IBVERBS_1.10', + 'ibv_query_gid_type' => 'ibv_query_gid_type@@IBVERBS_PRIVATE_34', + 'ibv_query_qp_data_in_order' => 'ibv_query_qp_data_in_order@@IBVERBS_1.14', + 'ibv_rate_to_mbps' => 'ibv_rate_to_mbps@@IBVERBS_1.1', + 'ibv_rate_to_mult' => 'ibv_rate_to_mult@@IBVERBS_1.0', + 'ibv_read_ibdev_sysfs_file' => 'ibv_read_ibdev_sysfs_file@@IBVERBS_PRIVATE_34', + 'ibv_read_sysfs_file' => 'ibv_read_sysfs_file@@IBVERBS_1.0', + 'ibv_reg_dmabuf_mr' => 'ibv_reg_dmabuf_mr@@IBVERBS_1.12', + 'ibv_reg_mr_iova' => 'ibv_reg_mr_iova@@IBVERBS_1.7', + 'ibv_reg_mr_iova2' => 'ibv_reg_mr_iova2@@IBVERBS_1.8', + 'ibv_resolve_eth_l2_from_gid' => 'ibv_resolve_eth_l2_from_gid@@IBVERBS_1.1', + 'ibv_set_ece' => 'ibv_set_ece@@IBVERBS_1.10', + 'ibv_unimport_dm' => 'ibv_unimport_dm@@IBVERBS_1.13', + 'ibv_unimport_mr' => 'ibv_unimport_mr@@IBVERBS_1.10', + 'ibv_unimport_pd' => 'ibv_unimport_pd@@IBVERBS_1.10', + 'ibv_wc_status_str' => 'ibv_wc_status_str@@IBVERBS_1.1', + 'ibv_wr_opcode_str' => 'ibv_wr_opcode_str@@IBVERBS_PRIVATE_34', + 'mbps_to_ibv_rate' => 'mbps_to_ibv_rate@@IBVERBS_1.1', + 'mult_to_ibv_rate' => 'mult_to_ibv_rate@@IBVERBS_1.0', + 'verbs_allow_disassociate_destroy' => 'verbs_allow_disassociate_destroy@@IBVERBS_PRIVATE_34', + 'verbs_init_cq' => 'verbs_init_cq@@IBVERBS_PRIVATE_34', + 'verbs_open_device' => 'verbs_open_device@@IBVERBS_PRIVATE_34', + 'verbs_register_driver_34' => 'verbs_register_driver_34@@IBVERBS_PRIVATE_34', + 'verbs_set_ops' => 'verbs_set_ops@@IBVERBS_PRIVATE_34', + 'verbs_uninit_context' => 'verbs_uninit_context@@IBVERBS_PRIVATE_34' + }, + 'Symbols' => { + 'libibverbs.so.1.14.49.0' => { + '__ioctl_final_num_attrs@@IBVERBS_PRIVATE_34' => 1, + '__verbs_log@@IBVERBS_PRIVATE_34' => 1, + '_ibv_query_gid_ex@@IBVERBS_1.11' => 1, + '_ibv_query_gid_table@@IBVERBS_1.11' => 1, + '_verbs_init_and_alloc_context@@IBVERBS_PRIVATE_34' => 1, + 'execute_ioctl@@IBVERBS_PRIVATE_34' => 1, + 'ibv_ack_async_event@@IBVERBS_1.1' => 1, + 'ibv_ack_async_event@IBVERBS_1.0' => 1, + 'ibv_ack_cq_events@@IBVERBS_1.1' => 1, + 'ibv_ack_cq_events@IBVERBS_1.0' => 1, + 'ibv_alloc_pd@@IBVERBS_1.1' => 1, + 'ibv_alloc_pd@IBVERBS_1.0' => 1, + 'ibv_attach_mcast@@IBVERBS_1.1' => 1, + 'ibv_attach_mcast@IBVERBS_1.0' => 1, + 'ibv_close_device@@IBVERBS_1.1' => 1, + 'ibv_close_device@IBVERBS_1.0' => 1, + 'ibv_cmd_advise_mr@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_alloc_dm@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_alloc_mw@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_alloc_pd@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_attach_mcast@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_close_xrcd@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_ah@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_counters@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_cq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_cq_ex@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_flow@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_flow_action_esp@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_qp@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_qp_ex2@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_qp_ex@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_rwq_ind_table@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_srq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_srq_ex@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_create_wq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_dealloc_mw@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_dealloc_pd@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_dereg_mr@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_ah@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_counters@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_cq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_flow@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_flow_action@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_qp@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_rwq_ind_table@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_srq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_destroy_wq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_detach_mcast@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_free_dm@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_get_context@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_modify_cq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_modify_flow_action_esp@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_modify_qp@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_modify_qp_ex@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_modify_srq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_modify_wq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_open_qp@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_open_xrcd@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_poll_cq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_post_recv@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_post_send@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_post_srq_recv@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_query_context@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_query_device_any@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_query_mr@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_query_port@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_query_qp@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_query_srq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_read_counters@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_reg_dm_mr@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_reg_dmabuf_mr@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_reg_mr@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_req_notify_cq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_rereg_mr@@IBVERBS_PRIVATE_34' => 1, + 'ibv_cmd_resize_cq@@IBVERBS_PRIVATE_34' => 1, + 'ibv_copy_ah_attr_from_kern@@IBVERBS_1.1' => 1, + 'ibv_copy_path_rec_from_kern@@IBVERBS_1.0' => 1, + 'ibv_copy_path_rec_to_kern@@IBVERBS_1.0' => 1, + 'ibv_copy_qp_attr_from_kern@@IBVERBS_1.0' => 1, + 'ibv_create_ah@@IBVERBS_1.1' => 1, + 'ibv_create_ah@IBVERBS_1.0' => 1, + 'ibv_create_ah_from_wc@@IBVERBS_1.1' => 1, + 'ibv_create_comp_channel@@IBVERBS_1.0' => 1, + 'ibv_create_cq@@IBVERBS_1.1' => 1, + 'ibv_create_cq@IBVERBS_1.0' => 1, + 'ibv_create_qp@@IBVERBS_1.1' => 1, + 'ibv_create_qp@IBVERBS_1.0' => 1, + 'ibv_create_srq@@IBVERBS_1.1' => 1, + 'ibv_create_srq@IBVERBS_1.0' => 1, + 'ibv_dealloc_pd@@IBVERBS_1.1' => 1, + 'ibv_dealloc_pd@IBVERBS_1.0' => 1, + 'ibv_dereg_mr@@IBVERBS_1.1' => 1, + 'ibv_dereg_mr@IBVERBS_1.0' => 1, + 'ibv_destroy_ah@@IBVERBS_1.1' => 1, + 'ibv_destroy_ah@IBVERBS_1.0' => 1, + 'ibv_destroy_comp_channel@@IBVERBS_1.0' => 1, + 'ibv_destroy_cq@@IBVERBS_1.1' => 1, + 'ibv_destroy_cq@IBVERBS_1.0' => 1, + 'ibv_destroy_qp@@IBVERBS_1.1' => 1, + 'ibv_destroy_qp@IBVERBS_1.0' => 1, + 'ibv_destroy_srq@@IBVERBS_1.1' => 1, + 'ibv_destroy_srq@IBVERBS_1.0' => 1, + 'ibv_detach_mcast@@IBVERBS_1.1' => 1, + 'ibv_detach_mcast@IBVERBS_1.0' => 1, + 'ibv_dofork_range@@IBVERBS_1.1' => 1, + 'ibv_dontfork_range@@IBVERBS_1.1' => 1, + 'ibv_event_type_str@@IBVERBS_1.1' => 1, + 'ibv_fork_init@@IBVERBS_1.1' => 1, + 'ibv_free_device_list@@IBVERBS_1.1' => 1, + 'ibv_free_device_list@IBVERBS_1.0' => 1, + 'ibv_get_async_event@@IBVERBS_1.1' => 1, + 'ibv_get_async_event@IBVERBS_1.0' => 1, + 'ibv_get_cq_event@@IBVERBS_1.1' => 1, + 'ibv_get_cq_event@IBVERBS_1.0' => 1, + 'ibv_get_device_guid@@IBVERBS_1.1' => 1, + 'ibv_get_device_guid@IBVERBS_1.0' => 1, + 'ibv_get_device_index@@IBVERBS_1.9' => 1, + 'ibv_get_device_list@@IBVERBS_1.1' => 1, + 'ibv_get_device_list@IBVERBS_1.0' => 1, + 'ibv_get_device_name@@IBVERBS_1.1' => 1, + 'ibv_get_device_name@IBVERBS_1.0' => 1, + 'ibv_get_pkey_index@@IBVERBS_1.5' => 1, + 'ibv_get_sysfs_path@@IBVERBS_1.0' => 1, + 'ibv_import_device@@IBVERBS_1.10' => 1, + 'ibv_import_dm@@IBVERBS_1.13' => 1, + 'ibv_import_mr@@IBVERBS_1.10' => 1, + 'ibv_import_pd@@IBVERBS_1.10' => 1, + 'ibv_init_ah_from_wc@@IBVERBS_1.1' => 1, + 'ibv_is_fork_initialized@@IBVERBS_1.13' => 1, + 'ibv_modify_qp@@IBVERBS_1.1' => 1, + 'ibv_modify_qp@IBVERBS_1.0' => 1, + 'ibv_modify_srq@@IBVERBS_1.1' => 1, + 'ibv_modify_srq@IBVERBS_1.0' => 1, + 'ibv_node_type_str@@IBVERBS_1.1' => 1, + 'ibv_open_device@@IBVERBS_1.1' => 1, + 'ibv_open_device@IBVERBS_1.0' => 1, + 'ibv_port_state_str@@IBVERBS_1.1' => 1, + 'ibv_qp_to_qp_ex@@IBVERBS_1.6' => 1, + 'ibv_query_device@@IBVERBS_1.1' => 1, + 'ibv_query_device@IBVERBS_1.0' => 1, + 'ibv_query_ece@@IBVERBS_1.10' => 1, + 'ibv_query_gid@@IBVERBS_1.1' => 1, + 'ibv_query_gid@IBVERBS_1.0' => 1, + 'ibv_query_gid_type@@IBVERBS_PRIVATE_34' => 1, + 'ibv_query_pkey@@IBVERBS_1.1' => 1, + 'ibv_query_pkey@IBVERBS_1.0' => 1, + 'ibv_query_port@@IBVERBS_1.1' => 1, + 'ibv_query_port@IBVERBS_1.0' => 1, + 'ibv_query_qp@@IBVERBS_1.1' => 1, + 'ibv_query_qp@IBVERBS_1.0' => 1, + 'ibv_query_qp_data_in_order@@IBVERBS_1.14' => 1, + 'ibv_query_srq@@IBVERBS_1.1' => 1, + 'ibv_query_srq@IBVERBS_1.0' => 1, + 'ibv_rate_to_mbps@@IBVERBS_1.1' => 1, + 'ibv_rate_to_mult@@IBVERBS_1.0' => 1, + 'ibv_read_ibdev_sysfs_file@@IBVERBS_PRIVATE_34' => 1, + 'ibv_read_sysfs_file@@IBVERBS_1.0' => 1, + 'ibv_reg_dmabuf_mr@@IBVERBS_1.12' => 1, + 'ibv_reg_mr@@IBVERBS_1.1' => 1, + 'ibv_reg_mr@IBVERBS_1.0' => 1, + 'ibv_reg_mr_iova2@@IBVERBS_1.8' => 1, + 'ibv_reg_mr_iova@@IBVERBS_1.7' => 1, + 'ibv_register_driver@IBVERBS_1.1' => 1, + 'ibv_rereg_mr@@IBVERBS_1.1' => 1, + 'ibv_resize_cq@@IBVERBS_1.1' => 1, + 'ibv_resize_cq@IBVERBS_1.0' => 1, + 'ibv_resolve_eth_l2_from_gid@@IBVERBS_1.1' => 1, + 'ibv_set_ece@@IBVERBS_1.10' => 1, + 'ibv_unimport_dm@@IBVERBS_1.13' => 1, + 'ibv_unimport_mr@@IBVERBS_1.10' => 1, + 'ibv_unimport_pd@@IBVERBS_1.10' => 1, + 'ibv_wc_status_str@@IBVERBS_1.1' => 1, + 'ibv_wr_opcode_str@@IBVERBS_PRIVATE_34' => 1, + 'mbps_to_ibv_rate@@IBVERBS_1.1' => 1, + 'mult_to_ibv_rate@@IBVERBS_1.0' => 1, + 'verbs_allow_disassociate_destroy@@IBVERBS_PRIVATE_34' => -1, + 'verbs_init_cq@@IBVERBS_PRIVATE_34' => 1, + 'verbs_open_device@@IBVERBS_PRIVATE_34' => 1, + 'verbs_register_driver_34@@IBVERBS_PRIVATE_34' => 1, + 'verbs_set_ops@@IBVERBS_PRIVATE_34' => 1, + 'verbs_uninit_context@@IBVERBS_PRIVATE_34' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '-1' => { + 'Name' => '...', + 'Type' => 'Intrinsic' + }, + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '1001' => { + 'BaseType' => '101', + 'Header' => undef, + 'Line' => '24', + 'Name' => '__u16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '100234' => { + 'BaseType' => '89160', + 'Name' => 'struct ibv_get_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '100239' => { + 'BaseType' => '75792', + 'Name' => 'struct ib_uverbs_get_context_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10052' => { + 'BaseType' => '9940', + 'Name' => 'struct ibv_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10057' => { + 'Header' => undef, + 'Line' => '1264', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'wq_context', + 'offset' => '8', + 'type' => '82' + }, + '10' => { + 'name' => 'cond', + 'offset' => '150', + 'type' => '906' + }, + '11' => { + 'name' => 'events_completed', + 'offset' => '324', + 'type' => '953' + }, + '12' => { + 'name' => 'comp_mask', + 'offset' => '328', + 'type' => '953' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '11395' + }, + '3' => { + 'name' => 'cq', + 'offset' => '36', + 'type' => '9734' + }, + '4' => { + 'name' => 'wq_num', + 'offset' => '50', + 'type' => '953' + }, + '5' => { + 'name' => 'handle', + 'offset' => '54', + 'type' => '953' + }, + '6' => { + 'name' => 'state', + 'offset' => '64', + 'type' => '11842' + }, + '7' => { + 'name' => 'wq_type', + 'offset' => '68', + 'type' => '11772' + }, + '8' => { + 'name' => 'post_recv', + 'offset' => '72', + 'type' => '14230' + }, + '9' => { + 'name' => 'mutex', + 'offset' => '86', + 'type' => '832' + } + }, + 'Name' => 'struct ibv_wq', + 'Size' => '152', + 'Type' => 'Struct' + }, + '101' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '1013' => { + 'BaseType' => '70', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '102078' => { + 'BaseType' => '89424', + 'Name' => 'struct ibv_query_port*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1025' => { + 'BaseType' => '348', + 'Header' => undef, + 'Line' => '31', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '10252' => { + 'BaseType' => '10057', + 'Name' => 'struct ibv_wq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10257' => { + 'Header' => undef, + 'Line' => '484', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1037' => { + 'BaseType' => '1001', + 'Header' => undef, + 'Line' => '25', + 'Name' => '__be16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '10418' => { + 'Header' => undef, + 'Line' => '512', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '11' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + }, + '12' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '13' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '14' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '15' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '16' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '17' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '18' => { + 'name' => 'IBV_WC_DRIVER2', + 'value' => '136' + }, + '19' => { + 'name' => 'IBV_WC_DRIVER3', + 'value' => '137' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_FLUSH', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_ATOMIC_WRITE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '104515' => { + 'BaseType' => '52889', + 'Name' => 'struct ibv_alloc_dm_attr const', + 'Size' => '16', + 'Type' => 'Const' + }, + '1049' => { + 'BaseType' => '1013', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '1061' => { + 'BaseType' => '1025', + 'Header' => undef, + 'Line' => '29', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '10686' => { + 'Header' => undef, + 'Line' => '597', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '1049' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '953' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '10723' => { + 'Header' => undef, + 'Line' => '588', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '10257' + }, + '10' => { + 'name' => 'slid', + 'offset' => '66', + 'type' => '941' + }, + '11' => { + 'name' => 'sl', + 'offset' => '68', + 'type' => '929' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '69', + 'type' => '929' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '18', + 'type' => '10418' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '22', + 'type' => '953' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '32', + 'type' => '953' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '10686' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '40', + 'type' => '953' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '50', + 'type' => '953' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '54', + 'type' => '70' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '64', + 'type' => '941' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '109022' => { + 'Header' => undef, + 'Line' => '187', + 'Memb' => { + '0' => { + 'name' => 'dm', + 'offset' => '0', + 'type' => '52942' + }, + '1' => { + 'name' => 'handle', + 'offset' => '50', + 'type' => '953' + } + }, + 'Name' => 'struct verbs_dm', + 'Size' => '40', + 'Type' => 'Struct' + }, + '10999' => { + 'Header' => undef, + 'Line' => '624', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '11186' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '965' + }, + '2' => { + 'name' => 'length', + 'offset' => '22', + 'type' => '965' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '36', + 'type' => '70' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '11069' => { + 'BaseType' => '10999', + 'Name' => 'struct ibv_mw_bind_info const', + 'Size' => '32', + 'Type' => 'Const' + }, + '11074' => { + 'Header' => undef, + 'Line' => '667', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '11395' + }, + '2' => { + 'name' => 'addr', + 'offset' => '22', + 'type' => '82' + }, + '3' => { + 'name' => 'length', + 'offset' => '36', + 'type' => '53' + }, + '4' => { + 'name' => 'handle', + 'offset' => '50', + 'type' => '953' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '54', + 'type' => '953' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '64', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '111448' => { + 'BaseType' => '109022', + 'Name' => 'struct verbs_dm*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11186' => { + 'BaseType' => '11074', + 'Name' => 'struct ibv_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11191' => { + 'Header' => undef, + 'Line' => '631', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11269' => { + 'Header' => undef, + 'Line' => '650', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'fd', + 'offset' => '4', + 'type' => '161' + }, + '2' => { + 'name' => 'oflags', + 'offset' => '8', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_xrcd_init_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '112923' => { + 'BaseType' => '104515', + 'Name' => 'struct ibv_alloc_dm_attr const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11325' => { + 'Header' => undef, + 'Line' => '656', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '11395' => { + 'BaseType' => '11191', + 'Name' => 'struct ibv_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11400' => { + 'Header' => undef, + 'Line' => '677', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '11429' => { + 'Header' => undef, + 'Line' => '682', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '11395' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '22', + 'type' => '953' + }, + '3' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '953' + }, + '4' => { + 'name' => 'type', + 'offset' => '36', + 'type' => '11400' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '11513' => { + 'Header' => undef, + 'Line' => '690', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '8669' + }, + '1' => { + 'name' => 'flow_label', + 'offset' => '22', + 'type' => '953' + }, + '2' => { + 'name' => 'sgid_index', + 'offset' => '32', + 'type' => '929' + }, + '3' => { + 'name' => 'hop_limit', + 'offset' => '33', + 'type' => '929' + }, + '4' => { + 'name' => 'traffic_class', + 'offset' => '34', + 'type' => '929' + } + }, + 'Name' => 'struct ibv_global_route', + 'Size' => '24', + 'Type' => 'Struct' + }, + '11598' => { + 'Header' => undef, + 'Line' => '761', + 'Memb' => { + '0' => { + 'name' => 'grh', + 'offset' => '0', + 'type' => '11513' + }, + '1' => { + 'name' => 'dlid', + 'offset' => '36', + 'type' => '941' + }, + '2' => { + 'name' => 'sl', + 'offset' => '38', + 'type' => '929' + }, + '3' => { + 'name' => 'src_path_bits', + 'offset' => '39', + 'type' => '929' + }, + '4' => { + 'name' => 'static_rate', + 'offset' => '40', + 'type' => '929' + }, + '5' => { + 'name' => 'is_global', + 'offset' => '41', + 'type' => '929' + }, + '6' => { + 'name' => 'port_num', + 'offset' => '48', + 'type' => '929' + } + }, + 'Name' => 'struct ibv_ah_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '11710' => { + 'Header' => undef, + 'Line' => '776', + 'Memb' => { + '0' => { + 'name' => 'max_wr', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'max_sge', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'srq_limit', + 'offset' => '8', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_srq_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '11767' => { + 'BaseType' => '11325', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11772' => { + 'Header' => undef, + 'Line' => '819', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQT_RQ', + 'value' => '0' + } + }, + 'Name' => 'enum ibv_wq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '11842' => { + 'Header' => undef, + 'Line' => '847', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WQS_RDY', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WQS_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WQS_UNKNOWN', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_wq_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '11924' => { + 'Header' => undef, + 'Line' => '861', + 'Memb' => { + '0' => { + 'name' => 'attr_mask', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'wq_state', + 'offset' => '4', + 'type' => '11842' + }, + '2' => { + 'name' => 'curr_wq_state', + 'offset' => '8', + 'type' => '11842' + }, + '3' => { + 'name' => 'flags', + 'offset' => '18', + 'type' => '953' + }, + '4' => { + 'name' => 'flags_mask', + 'offset' => '22', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_wq_attr', + 'Size' => '20', + 'Type' => 'Struct' + }, + '12009' => { + 'Header' => undef, + 'Line' => '879', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '161' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '18', + 'type' => '161' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '12103' => { + 'Header' => undef, + 'Line' => '893', + 'Memb' => { + '0' => { + 'name' => 'log_ind_tbl_size', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'ind_tbl', + 'offset' => '8', + 'type' => '12160' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_rwq_ind_table_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '12160' => { + 'BaseType' => '10252', + 'Name' => 'struct ibv_wq**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12165' => { + 'Header' => undef, + 'Line' => '900', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12224' => { + 'Header' => undef, + 'Line' => '910', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '953' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '18', + 'type' => '953' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '22', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '12309' => { + 'Header' => undef, + 'Line' => '918', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '9734' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '9734' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '10052' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '12224' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '12165' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_qp_init_attr', + 'Size' => '64', + 'Type' => 'Struct' + }, + '12422' => { + 'BaseType' => '12009', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12474' => { + 'Header' => undef, + 'Line' => '1000', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'qp_num', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'xrcd', + 'offset' => '8', + 'type' => '11767' + }, + '3' => { + 'name' => 'qp_context', + 'offset' => '22', + 'type' => '82' + }, + '4' => { + 'name' => 'qp_type', + 'offset' => '36', + 'type' => '12165' + } + }, + 'Name' => 'struct ibv_qp_open_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '125' => { + 'BaseType' => '89', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '12734' => { + 'Header' => undef, + 'Line' => '1049', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12799' => { + 'Header' => undef, + 'Line' => '1060', + 'Memb' => { + '0' => { + 'name' => 'IBV_MIG_MIGRATED', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_MIG_REARM', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_MIG_ARMED', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mig_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '12834' => { + 'Header' => undef, + 'Line' => '1066', + 'Memb' => { + '0' => { + 'name' => 'qp_state', + 'offset' => '0', + 'type' => '12734' + }, + '1' => { + 'name' => 'cur_qp_state', + 'offset' => '4', + 'type' => '12734' + }, + '10' => { + 'name' => 'ah_attr', + 'offset' => '86', + 'type' => '11598' + }, + '11' => { + 'name' => 'alt_ah_attr', + 'offset' => '136', + 'type' => '11598' + }, + '12' => { + 'name' => 'pkey_index', + 'offset' => '288', + 'type' => '941' + }, + '13' => { + 'name' => 'alt_pkey_index', + 'offset' => '290', + 'type' => '941' + }, + '14' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '292', + 'type' => '929' + }, + '15' => { + 'name' => 'sq_draining', + 'offset' => '293', + 'type' => '929' + }, + '16' => { + 'name' => 'max_rd_atomic', + 'offset' => '294', + 'type' => '929' + }, + '17' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '295', + 'type' => '929' + }, + '18' => { + 'name' => 'min_rnr_timer', + 'offset' => '296', + 'type' => '929' + }, + '19' => { + 'name' => 'port_num', + 'offset' => '297', + 'type' => '929' + }, + '2' => { + 'name' => 'path_mtu', + 'offset' => '8', + 'type' => '9546' + }, + '20' => { + 'name' => 'timeout', + 'offset' => '304', + 'type' => '929' + }, + '21' => { + 'name' => 'retry_cnt', + 'offset' => '305', + 'type' => '929' + }, + '22' => { + 'name' => 'rnr_retry', + 'offset' => '306', + 'type' => '929' + }, + '23' => { + 'name' => 'alt_port_num', + 'offset' => '307', + 'type' => '929' + }, + '24' => { + 'name' => 'alt_timeout', + 'offset' => '308', + 'type' => '929' + }, + '25' => { + 'name' => 'rate_limit', + 'offset' => '310', + 'type' => '953' + }, + '3' => { + 'name' => 'path_mig_state', + 'offset' => '18', + 'type' => '12799' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '22', + 'type' => '953' + }, + '5' => { + 'name' => 'rq_psn', + 'offset' => '32', + 'type' => '953' + }, + '6' => { + 'name' => 'sq_psn', + 'offset' => '36', + 'type' => '953' + }, + '7' => { + 'name' => 'dest_qp_num', + 'offset' => '40', + 'type' => '953' + }, + '8' => { + 'name' => 'qp_access_flags', + 'offset' => '50', + 'type' => '70' + }, + '9' => { + 'name' => 'cap', + 'offset' => '54', + 'type' => '12224' + } + }, + 'Name' => 'struct ibv_qp_attr', + 'Size' => '144', + 'Type' => 'Struct' + }, + '13213' => { + 'Header' => undef, + 'Line' => '1102', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WR_FLUSH', + 'value' => '14' + }, + '13' => { + 'name' => 'IBV_WR_ATOMIC_WRITE', + 'value' => '15' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '13314' => { + 'Header' => undef, + 'Line' => '1139', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '53' + } + }, + 'Name' => 'struct ibv_data_buf', + 'Size' => '16', + 'Type' => 'Struct' + }, + '13357' => { + 'BaseType' => '13314', + 'Name' => 'struct ibv_data_buf const', + 'Size' => '16', + 'Type' => 'Const' + }, + '13362' => { + 'Header' => undef, + 'Line' => '1144', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '18', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '13419' => { + 'BaseType' => '13362', + 'Name' => 'struct ibv_sge const', + 'Size' => '16', + 'Type' => 'Const' + }, + '13424' => { + 'Header' => undef, + 'Line' => '1160', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '1049' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '953' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '13461' => { + 'Header' => undef, + 'Line' => '1165', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '953' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '13499' => { + 'Header' => undef, + 'Line' => '1169', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '965' + }, + '2' => { + 'name' => 'swap', + 'offset' => '22', + 'type' => '965' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '36', + 'type' => '953' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '13565' => { + 'Header' => undef, + 'Line' => '1175', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '13672' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '18', + 'type' => '953' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '13616' => { + 'Header' => undef, + 'Line' => '1694', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '11395' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '13672' => { + 'BaseType' => '13616', + 'Name' => 'struct ibv_ah*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13677' => { + 'Header' => undef, + 'Line' => '1164', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '13461' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '13499' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '13565' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '13726' => { + 'Header' => undef, + 'Line' => '1182', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '953' + } + }, + 'Size' => '4', + 'Type' => 'Struct' + }, + '13750' => { + 'Header' => undef, + 'Line' => '1181', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '13726' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '13774' => { + 'Header' => undef, + 'Line' => '1187', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '13825' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '10999' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '13825' => { + 'BaseType' => '11429', + 'Name' => 'struct ibv_mw*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13830' => { + 'Header' => undef, + 'Line' => '1192', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '941' + }, + '2' => { + 'name' => 'mss', + 'offset' => '16', + 'type' => '941' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '13882' => { + 'Header' => undef, + 'Line' => '1186', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '13774' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '13830' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '13919' => { + 'Header' => undef, + 'Line' => '1150', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '14057' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '14062' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '161' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '40', + 'type' => '13213' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '50', + 'type' => '70' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '54', + 'type' => '13424' + }, + '7' => { + 'name' => 'wr', + 'offset' => '64', + 'type' => '13677' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '114', + 'type' => '13750' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '128', + 'type' => '13882' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '14057' => { + 'BaseType' => '13919', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14062' => { + 'BaseType' => '13362', + 'Name' => 'struct ibv_sge*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14067' => { + 'Header' => undef, + 'Line' => '1200', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '14138' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '14062' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '14138' => { + 'BaseType' => '14067', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14143' => { + 'Header' => undef, + 'Line' => '1236', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '70' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '10999' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '14225' => { + 'BaseType' => '14138', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14230' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '10252' + }, + '1' => { + 'type' => '14138' + }, + '2' => { + 'type' => '14225' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14235' => { + 'Header' => undef, + 'Line' => '1299', + 'Memb' => { + '0' => { + 'name' => 'qp_base', + 'offset' => '0', + 'type' => '9739' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '352', + 'type' => '965' + }, + '10' => { + 'name' => 'wr_rdma_write_imm', + 'offset' => '562', + 'type' => '14794' + }, + '11' => { + 'name' => 'wr_send', + 'offset' => '576', + 'type' => '14810' + }, + '12' => { + 'name' => 'wr_send_imm', + 'offset' => '584', + 'type' => '14831' + }, + '13' => { + 'name' => 'wr_send_inv', + 'offset' => '598', + 'type' => '14737' + }, + '14' => { + 'name' => 'wr_send_tso', + 'offset' => '612', + 'type' => '14862' + }, + '15' => { + 'name' => 'wr_set_ud_addr', + 'offset' => '626', + 'type' => '14893' + }, + '16' => { + 'name' => 'wr_set_xrc_srqn', + 'offset' => '640', + 'type' => '14737' + }, + '17' => { + 'name' => 'wr_set_inline_data', + 'offset' => '648', + 'type' => '14919' + }, + '18' => { + 'name' => 'wr_set_inline_data_list', + 'offset' => '662', + 'type' => '14950' + }, + '19' => { + 'name' => 'wr_set_sge', + 'offset' => '772', + 'type' => '14981' + }, + '2' => { + 'name' => 'wr_id', + 'offset' => '360', + 'type' => '965' + }, + '20' => { + 'name' => 'wr_set_sge_list', + 'offset' => '786', + 'type' => '15012' + }, + '21' => { + 'name' => 'wr_start', + 'offset' => '800', + 'type' => '14810' + }, + '22' => { + 'name' => 'wr_complete', + 'offset' => '808', + 'type' => '15032' + }, + '23' => { + 'name' => 'wr_abort', + 'offset' => '822', + 'type' => '14810' + }, + '24' => { + 'name' => 'wr_atomic_write', + 'offset' => '836', + 'type' => '15063' + }, + '25' => { + 'name' => 'wr_flush', + 'offset' => '850', + 'type' => '15104' + }, + '3' => { + 'name' => 'wr_flags', + 'offset' => '374', + 'type' => '70' + }, + '4' => { + 'name' => 'wr_atomic_cmp_swp', + 'offset' => '388', + 'type' => '14649' + }, + '5' => { + 'name' => 'wr_atomic_fetch_add', + 'offset' => '402', + 'type' => '14680' + }, + '6' => { + 'name' => 'wr_bind_mw', + 'offset' => '512', + 'type' => '14716' + }, + '7' => { + 'name' => 'wr_local_inv', + 'offset' => '520', + 'type' => '14737' + }, + '8' => { + 'name' => 'wr_rdma_read', + 'offset' => '534', + 'type' => '14763' + }, + '9' => { + 'name' => 'wr_rdma_write', + 'offset' => '548', + 'type' => '14763' + } + }, + 'Name' => 'struct ibv_qp_ex', + 'Size' => '360', + 'Type' => 'Struct' + }, + '1430' => { + 'Header' => undef, + 'Line' => '158', + 'Memb' => { + '0' => { + 'name' => 'command', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'in_words', + 'offset' => '4', + 'type' => '1001' + }, + '2' => { + 'name' => 'out_words', + 'offset' => '6', + 'type' => '1001' + } + }, + 'Name' => 'struct ib_uverbs_cmd_hdr', + 'Size' => '8', + 'Type' => 'Struct' + }, + '14644' => { + 'BaseType' => '14235', + 'Name' => 'struct ibv_qp_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14649' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '953' + }, + '2' => { + 'type' => '965' + }, + '3' => { + 'type' => '965' + }, + '4' => { + 'type' => '965' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14680' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '953' + }, + '2' => { + 'type' => '965' + }, + '3' => { + 'type' => '965' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14711' => { + 'BaseType' => '11069', + 'Name' => 'struct ibv_mw_bind_info const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14716' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_mw*, uint32_t, struct ibv_mw_bind_info const*)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '13825' + }, + '2' => { + 'type' => '953' + }, + '3' => { + 'type' => '14711' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14737' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '953' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14763' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '953' + }, + '2' => { + 'type' => '965' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14794' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, __be32)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '953' + }, + '2' => { + 'type' => '965' + }, + '3' => { + 'type' => '1049' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14810' => { + 'Name' => 'void(*)(struct ibv_qp_ex*)', + 'Param' => { + '0' => { + 'type' => '14644' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '1483' => { + 'Header' => undef, + 'Line' => '164', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'provider_in_words', + 'offset' => '8', + 'type' => '1001' + }, + '2' => { + 'name' => 'provider_out_words', + 'offset' => '16', + 'type' => '1001' + }, + '3' => { + 'name' => 'cmd_hdr_reserved', + 'offset' => '18', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_cmd_hdr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '14831' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, __be32)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '1049' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14862' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, void*, uint16_t, uint16_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '82' + }, + '2' => { + 'type' => '941' + }, + '3' => { + 'type' => '941' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14893' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_ah*, uint32_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '13672' + }, + '2' => { + 'type' => '953' + }, + '3' => { + 'type' => '953' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '149' => { + 'BaseType' => '101', + 'Header' => undef, + 'Line' => '40', + 'Name' => '__uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '14919' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, void*, size_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '82' + }, + '2' => { + 'type' => '53' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '149382' => { + 'BaseType' => '23083', + 'Name' => 'struct verbs_flow_action*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14945' => { + 'BaseType' => '13357', + 'Name' => 'struct ibv_data_buf const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14950' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_data_buf const*)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '53' + }, + '2' => { + 'type' => '14945' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '14981' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '953' + }, + '2' => { + 'type' => '965' + }, + '3' => { + 'type' => '953' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '15007' => { + 'BaseType' => '13419', + 'Name' => 'struct ibv_sge const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '15012' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_sge const*)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '53' + }, + '2' => { + 'type' => '15007' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '15032' => { + 'Name' => 'int(*)(struct ibv_qp_ex*)', + 'Param' => { + '0' => { + 'type' => '14644' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '15063' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, void const*)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '953' + }, + '2' => { + 'type' => '965' + }, + '3' => { + 'type' => '918' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '15104' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, size_t, uint8_t, uint8_t)', + 'Param' => { + '0' => { + 'type' => '14644' + }, + '1' => { + 'type' => '953' + }, + '2' => { + 'type' => '965' + }, + '3' => { + 'type' => '53' + }, + '4' => { + 'type' => '929' + }, + '5' => { + 'type' => '929' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '15109' => { + 'Header' => undef, + 'Line' => '1501', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '161' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '18', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '15165' => { + 'BaseType' => '15109', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '15199' => { + 'Header' => undef, + 'Line' => '1576', + 'Memb' => { + '0' => { + 'name' => 'cq_count', + 'offset' => '0', + 'type' => '941' + }, + '1' => { + 'name' => 'cq_period', + 'offset' => '2', + 'type' => '941' + } + }, + 'Name' => 'struct ibv_moderate_cq', + 'Size' => '4', + 'Type' => 'Struct' + }, + '15242' => { + 'Header' => undef, + 'Line' => '1581', + 'Memb' => { + '0' => { + 'name' => 'attr_mask', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'moderate', + 'offset' => '4', + 'type' => '15199' + } + }, + 'Name' => 'struct ibv_modify_cq_attr', + 'Size' => '8', + 'Type' => 'Struct' + }, + '152688' => { + 'BaseType' => '82', + 'Name' => 'void**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '15285' => { + 'Header' => undef, + 'Line' => '1706', + 'Memb' => { + '0' => { + 'name' => 'IBV_FLOW_ATTR_NORMAL', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_FLOW_ATTR_ALL_DEFAULT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_FLOW_ATTR_MC_DEFAULT', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_FLOW_ATTR_SNIFFER', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_flow_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1549' => { + 'BaseType' => '1025', + 'Name' => '__u64[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1564' => { + 'BaseType' => '989', + 'Name' => '__u8[7]', + 'Size' => '7', + 'Type' => 'Array' + }, + '1580' => { + 'Header' => undef, + 'Line' => '321', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_alloc_pd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '161' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '1620' => { + 'Header' => undef, + 'Line' => '326', + 'Memb' => { + '0' => { + 'name' => 'pd_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '4', + 'type' => '1663' + } + }, + 'Name' => 'struct ib_uverbs_alloc_pd_resp', + 'Size' => '4', + 'Type' => 'Struct' + }, + '1663' => { + 'BaseType' => '1013', + 'Name' => '__u32[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '16763' => { + 'Header' => undef, + 'Line' => '1938', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + } + }, + 'Name' => 'struct ibv_flow_action', + 'Size' => '8', + 'Type' => 'Struct' + }, + '1678' => { + 'Header' => undef, + 'Line' => '335', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'oflags', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_open_xrcd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '16859' => { + 'Header' => undef, + 'Line' => '2103', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + } + }, + 'Name' => 'struct ibv_counters', + 'Size' => '8', + 'Type' => 'Struct' + }, + '16888' => { + 'BaseType' => '16859', + 'Name' => 'struct ibv_counters*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17145' => { + 'Header' => undef, + 'Line' => '1918', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'type', + 'offset' => '4', + 'type' => '15285' + }, + '2' => { + 'name' => 'size', + 'offset' => '8', + 'type' => '941' + }, + '3' => { + 'name' => 'priority', + 'offset' => '16', + 'type' => '941' + }, + '4' => { + 'name' => 'num_of_specs', + 'offset' => '18', + 'type' => '929' + }, + '5' => { + 'name' => 'port', + 'offset' => '19', + 'type' => '929' + }, + '6' => { + 'name' => 'flags', + 'offset' => '22', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_flow_attr', + 'Size' => '20', + 'Type' => 'Struct' + }, + '17258' => { + 'Header' => undef, + 'Line' => '1932', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'context', + 'offset' => '8', + 'type' => '8991' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_flow', + 'Size' => '24', + 'Type' => 'Struct' + }, + '173' => { + 'BaseType' => '70', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '17315' => { + 'Header' => undef, + 'Line' => '1967', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '17495' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '17511' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '17378' => { + 'BaseType' => '17383', + 'Name' => 'struct ibv_device*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17383' => { + 'Header' => undef, + 'Line' => '1977', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '17315' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '22', + 'type' => '8728' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '32', + 'type' => '8792' + }, + '3' => { + 'name' => 'name', + 'offset' => '36', + 'type' => '9530' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '136', + 'type' => '9530' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '338', + 'type' => '17542' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '1032', + 'type' => '17542' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '1745' => { + 'Header' => undef, + 'Line' => '342', + 'Memb' => { + '0' => { + 'name' => 'xrcd_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '4', + 'type' => '1663' + } + }, + 'Name' => 'struct ib_uverbs_open_xrcd_resp', + 'Size' => '4', + 'Type' => 'Struct' + }, + '17495' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '17378' + }, + '1' => { + 'type' => '161' + } + }, + 'Return' => '8991', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17511' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '8991' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17542' => { + 'BaseType' => '226', + 'Name' => 'char[256]', + 'Size' => '256', + 'Type' => 'Array' + }, + '17558' => { + 'Header' => undef, + 'Line' => '1992', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '18045' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '18085' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '128', + 'type' => '18095' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '136', + 'type' => '18210' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '150', + 'type' => '18235' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '260', + 'type' => '18095' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '274', + 'type' => '18095' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '288', + 'type' => '18095' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '296', + 'type' => '18095' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '310', + 'type' => '18095' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '324', + 'type' => '18095' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '338', + 'type' => '18095' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '22', + 'type' => '18095' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '352', + 'type' => '18265' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '360', + 'type' => '18095' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '374', + 'type' => '18095' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '388', + 'type' => '18095' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '402', + 'type' => '18095' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '512', + 'type' => '18300' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '520', + 'type' => '18330' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '534', + 'type' => '18095' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '548', + 'type' => '18095' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '562', + 'type' => '18095' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '36', + 'type' => '18095' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '576', + 'type' => '18095' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '584', + 'type' => '18095' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '50', + 'type' => '18095' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '64', + 'type' => '18095' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '72', + 'type' => '18095' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '86', + 'type' => '18120' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '100', + 'type' => '18155' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '114', + 'type' => '18175' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '1788' => { + 'Header' => undef, + 'Line' => '351', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'start', + 'offset' => '8', + 'type' => '1025' + }, + '2' => { + 'name' => 'length', + 'offset' => '22', + 'type' => '1025' + }, + '3' => { + 'name' => 'hca_va', + 'offset' => '36', + 'type' => '1025' + }, + '4' => { + 'name' => 'pd_handle', + 'offset' => '50', + 'type' => '1013' + }, + '5' => { + 'name' => 'access_flags', + 'offset' => '54', + 'type' => '1013' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '64', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_reg_mr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '18040' => { + 'BaseType' => '8996', + 'Name' => 'struct ibv_device_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18045' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_device_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '18040' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18075' => { + 'BaseType' => '18080', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18080' => { + 'Header' => undef, + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'state', + 'offset' => '0', + 'type' => '54577' + }, + '1' => { + 'name' => 'max_mtu', + 'offset' => '4', + 'type' => '9546' + }, + '10' => { + 'name' => 'sm_lid', + 'offset' => '54', + 'type' => '941' + }, + '11' => { + 'name' => 'lmc', + 'offset' => '56', + 'type' => '929' + }, + '12' => { + 'name' => 'max_vl_num', + 'offset' => '57', + 'type' => '929' + }, + '13' => { + 'name' => 'sm_sl', + 'offset' => '64', + 'type' => '929' + }, + '14' => { + 'name' => 'subnet_timeout', + 'offset' => '65', + 'type' => '929' + }, + '15' => { + 'name' => 'init_type_reply', + 'offset' => '66', + 'type' => '929' + }, + '16' => { + 'name' => 'active_width', + 'offset' => '67', + 'type' => '929' + }, + '17' => { + 'name' => 'active_speed', + 'offset' => '68', + 'type' => '929' + }, + '18' => { + 'name' => 'phys_state', + 'offset' => '69', + 'type' => '929' + }, + '19' => { + 'name' => 'link_layer', + 'offset' => '70', + 'type' => '929' + }, + '2' => { + 'name' => 'active_mtu', + 'offset' => '8', + 'type' => '9546' + }, + '20' => { + 'name' => 'flags', + 'offset' => '71', + 'type' => '929' + }, + '3' => { + 'name' => 'gid_tbl_len', + 'offset' => '18', + 'type' => '161' + }, + '4' => { + 'name' => 'port_cap_flags', + 'offset' => '22', + 'type' => '953' + }, + '5' => { + 'name' => 'max_msg_sz', + 'offset' => '32', + 'type' => '953' + }, + '6' => { + 'name' => 'bad_pkey_cntr', + 'offset' => '36', + 'type' => '953' + }, + '7' => { + 'name' => 'qkey_viol_cntr', + 'offset' => '40', + 'type' => '953' + }, + '8' => { + 'name' => 'pkey_tbl_len', + 'offset' => '50', + 'type' => '941' + }, + '9' => { + 'name' => 'lid', + 'offset' => '52', + 'type' => '941' + } + }, + 'Name' => 'struct _compat_ibv_port_attr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '18085' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '929' + }, + '2' => { + 'type' => '18075' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18095' => { + 'Name' => 'void*(*)()', + 'Return' => '82', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18120' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '11400' + } + }, + 'Return' => '13825', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18150' => { + 'BaseType' => '14143', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18155' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '13825' + }, + '2' => { + 'type' => '18150' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18175' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '13825' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18205' => { + 'BaseType' => '10723', + 'Name' => 'struct ibv_wc*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18210' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '9734' + }, + '1' => { + 'type' => '161' + }, + '2' => { + 'type' => '18205' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18235' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '9734' + }, + '1' => { + 'type' => '161' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18265' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '10052' + }, + '1' => { + 'type' => '14138' + }, + '2' => { + 'type' => '14225' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18295' => { + 'BaseType' => '14057', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18300' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '14057' + }, + '2' => { + 'type' => '18295' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18330' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '14138' + }, + '2' => { + 'type' => '14225' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18335' => { + 'BaseType' => '17258', + 'Name' => 'struct ibv_flow*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18340' => { + 'BaseType' => '15242', + 'Name' => 'struct ibv_modify_cq_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18345' => { + 'BaseType' => '12103', + 'Name' => 'struct ibv_rwq_ind_table_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18350' => { + 'BaseType' => '11924', + 'Name' => 'struct ibv_wq_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18355' => { + 'BaseType' => '17145', + 'Name' => 'struct ibv_flow_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18360' => { + 'BaseType' => '12474', + 'Name' => 'struct ibv_qp_open_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18365' => { + 'BaseType' => '11269', + 'Name' => 'struct ibv_xrcd_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18370' => { + 'Name' => '_Bool', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '18410' => { + 'Header' => undef, + 'Line' => '51', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'ex_hdr', + 'offset' => '8', + 'type' => '1483' + } + }, + 'Name' => 'struct ex_hdr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '18449' => { + 'Header' => undef, + 'Line' => '175', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'pd_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'mw_type', + 'offset' => '18', + 'type' => '989' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '19', + 'type' => '2238' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '185' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '18522' => { + 'Header' => undef, + 'Line' => '175', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '18449' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '2156' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '18545' => { + 'Header' => undef, + 'Line' => '175', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '18522' + } + }, + 'Name' => 'struct ibv_alloc_mw', + 'Size' => '24', + 'Type' => 'Struct' + }, + '18597' => { + 'Header' => undef, + 'Line' => '176', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Size' => '8', + 'Type' => 'Struct' + }, + '18631' => { + 'Header' => undef, + 'Line' => '176', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '18597' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '1580' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '18654' => { + 'Header' => undef, + 'Line' => '176', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '18631' + } + }, + 'Name' => 'struct ibv_alloc_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1895' => { + 'Header' => undef, + 'Line' => '361', + 'Memb' => { + '0' => { + 'name' => 'mr_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'lkey', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '18', + 'type' => '1663' + } + }, + 'Name' => 'struct ib_uverbs_reg_mr_resp', + 'Size' => '12', + 'Type' => 'Struct' + }, + '19145' => { + 'Header' => undef, + 'Line' => '194', + 'Memb' => { + '0' => { + 'name' => 'dest', + 'offset' => '0', + 'type' => '3401' + }, + '1' => { + 'name' => 'alt_dest', + 'offset' => '50', + 'type' => '3401' + }, + '10' => { + 'name' => 'alt_pkey_index', + 'offset' => '148', + 'type' => '1001' + }, + '11' => { + 'name' => 'qp_state', + 'offset' => '150', + 'type' => '989' + }, + '12' => { + 'name' => 'cur_qp_state', + 'offset' => '151', + 'type' => '989' + }, + '13' => { + 'name' => 'path_mtu', + 'offset' => '152', + 'type' => '989' + }, + '14' => { + 'name' => 'path_mig_state', + 'offset' => '153', + 'type' => '989' + }, + '15' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '256', + 'type' => '989' + }, + '16' => { + 'name' => 'max_rd_atomic', + 'offset' => '257', + 'type' => '989' + }, + '17' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '258', + 'type' => '989' + }, + '18' => { + 'name' => 'min_rnr_timer', + 'offset' => '259', + 'type' => '989' + }, + '19' => { + 'name' => 'port_num', + 'offset' => '260', + 'type' => '989' + }, + '2' => { + 'name' => 'qp_handle', + 'offset' => '100', + 'type' => '1013' + }, + '20' => { + 'name' => 'timeout', + 'offset' => '261', + 'type' => '989' + }, + '21' => { + 'name' => 'retry_cnt', + 'offset' => '262', + 'type' => '989' + }, + '22' => { + 'name' => 'rnr_retry', + 'offset' => '263', + 'type' => '989' + }, + '23' => { + 'name' => 'alt_port_num', + 'offset' => '264', + 'type' => '989' + }, + '24' => { + 'name' => 'alt_timeout', + 'offset' => '265', + 'type' => '989' + }, + '25' => { + 'name' => 'reserved', + 'offset' => '272', + 'type' => '4489' + }, + '26' => { + 'name' => 'driver_data', + 'offset' => '274', + 'type' => '1549' + }, + '3' => { + 'name' => 'attr_mask', + 'offset' => '104', + 'type' => '1013' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '114', + 'type' => '1013' + }, + '5' => { + 'name' => 'rq_psn', + 'offset' => '118', + 'type' => '1013' + }, + '6' => { + 'name' => 'sq_psn', + 'offset' => '128', + 'type' => '1013' + }, + '7' => { + 'name' => 'dest_qp_num', + 'offset' => '132', + 'type' => '1013' + }, + '8' => { + 'name' => 'qp_access_flags', + 'offset' => '136', + 'type' => '1013' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '146', + 'type' => '1001' + } + }, + 'Size' => '112', + 'Type' => 'Struct' + }, + '19519' => { + 'Header' => undef, + 'Line' => '194', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '19145' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '4098' + } + }, + 'Size' => '112', + 'Type' => 'Union' + }, + '19542' => { + 'Header' => undef, + 'Line' => '194', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '19519' + } + }, + 'Name' => 'struct ibv_modify_qp', + 'Size' => '120', + 'Type' => 'Struct' + }, + '19584' => { + 'Header' => undef, + 'Line' => '195', + 'Memb' => { + '0' => { + 'name' => 'srq_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'attr_mask', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'max_wr', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'srq_limit', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '19657' => { + 'Header' => undef, + 'Line' => '195', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '19584' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '8070' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '1966' => { + 'Header' => undef, + 'Line' => '368', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'mr_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'flags', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'start', + 'offset' => '22', + 'type' => '1025' + }, + '4' => { + 'name' => 'length', + 'offset' => '36', + 'type' => '1025' + }, + '5' => { + 'name' => 'hca_va', + 'offset' => '50', + 'type' => '1025' + }, + '6' => { + 'name' => 'pd_handle', + 'offset' => '64', + 'type' => '1013' + }, + '7' => { + 'name' => 'access_flags', + 'offset' => '68', + 'type' => '1013' + }, + '8' => { + 'name' => 'driver_data', + 'offset' => '72', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_rereg_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '19680' => { + 'Header' => undef, + 'Line' => '195', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '19657' + } + }, + 'Name' => 'struct ibv_modify_srq', + 'Size' => '24', + 'Type' => 'Struct' + }, + '197' => { + 'BaseType' => '46', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '19722' => { + 'Header' => undef, + 'Line' => '196', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'qpn', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'qp_type', + 'offset' => '36', + 'type' => '989' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '37', + 'type' => '1564' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '50', + 'type' => '1549' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '19820' => { + 'Header' => undef, + 'Line' => '196', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '19722' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '3136' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '19843' => { + 'Header' => undef, + 'Line' => '196', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '19820' + } + }, + 'Name' => 'struct ibv_open_qp', + 'Size' => '40', + 'Type' => 'Struct' + }, + '19897' => { + 'Header' => undef, + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'oflags', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '19955' => { + 'Header' => undef, + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '19897' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '1678' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '199616' => { + 'Header' => undef, + 'Line' => '586', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '10' => { + 'name' => 'max_inline_data', + 'offset' => '72', + 'type' => '1013' + }, + '11' => { + 'name' => 'sq_sig_all', + 'offset' => '82', + 'type' => '989' + }, + '12' => { + 'name' => 'qp_type', + 'offset' => '83', + 'type' => '989' + }, + '13' => { + 'name' => 'is_srq', + 'offset' => '84', + 'type' => '989' + }, + '14' => { + 'name' => 'reserved', + 'offset' => '85', + 'type' => '989' + }, + '15' => { + 'name' => 'driver_data', + 'offset' => '86', + 'type' => '1549' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'send_cq_handle', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'recv_cq_handle', + 'offset' => '36', + 'type' => '1013' + }, + '5' => { + 'name' => 'srq_handle', + 'offset' => '40', + 'type' => '1013' + }, + '6' => { + 'name' => 'max_send_wr', + 'offset' => '50', + 'type' => '1013' + }, + '7' => { + 'name' => 'max_recv_wr', + 'offset' => '54', + 'type' => '1013' + }, + '8' => { + 'name' => 'max_send_sge', + 'offset' => '64', + 'type' => '1013' + }, + '9' => { + 'name' => 'max_recv_sge', + 'offset' => '68', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_create_qp', + 'Size' => '56', + 'Type' => 'Struct' + }, + '19978' => { + 'Header' => undef, + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '19955' + } + }, + 'Name' => 'struct ibv_open_xrcd', + 'Size' => '24', + 'Type' => 'Struct' + }, + '199878' => { + 'Header' => undef, + 'Line' => '613', + 'Memb' => { + '0' => { + 'name' => 'user_handle', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'pd_handle', + 'offset' => '8', + 'type' => '1013' + }, + '10' => { + 'name' => 'sq_sig_all', + 'offset' => '68', + 'type' => '989' + }, + '11' => { + 'name' => 'qp_type', + 'offset' => '69', + 'type' => '989' + }, + '12' => { + 'name' => 'is_srq', + 'offset' => '70', + 'type' => '989' + }, + '13' => { + 'name' => 'reserved', + 'offset' => '71', + 'type' => '989' + }, + '14' => { + 'name' => 'comp_mask', + 'offset' => '72', + 'type' => '1013' + }, + '15' => { + 'name' => 'create_flags', + 'offset' => '82', + 'type' => '1013' + }, + '16' => { + 'name' => 'rwq_ind_tbl_handle', + 'offset' => '86', + 'type' => '1013' + }, + '17' => { + 'name' => 'source_qpn', + 'offset' => '96', + 'type' => '1013' + }, + '2' => { + 'name' => 'send_cq_handle', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'recv_cq_handle', + 'offset' => '22', + 'type' => '1013' + }, + '4' => { + 'name' => 'srq_handle', + 'offset' => '32', + 'type' => '1013' + }, + '5' => { + 'name' => 'max_send_wr', + 'offset' => '36', + 'type' => '1013' + }, + '6' => { + 'name' => 'max_recv_wr', + 'offset' => '40', + 'type' => '1013' + }, + '7' => { + 'name' => 'max_send_sge', + 'offset' => '50', + 'type' => '1013' + }, + '8' => { + 'name' => 'max_recv_sge', + 'offset' => '54', + 'type' => '1013' + }, + '9' => { + 'name' => 'max_inline_data', + 'offset' => '64', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_qp', + 'Size' => '64', + 'Type' => 'Struct' + }, + '200301' => { + 'Header' => undef, + 'Line' => '657', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '3245' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '50', + 'type' => '1013' + }, + '2' => { + 'name' => 'response_length', + 'offset' => '54', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_qp_resp', + 'Size' => '40', + 'Type' => 'Struct' + }, + '20641' => { + 'Header' => undef, + 'Line' => '204', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'qp_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'attr_mask', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '20701' => { + 'Header' => undef, + 'Line' => '204', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '20641' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '3583' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '20724' => { + 'Header' => undef, + 'Line' => '204', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '20701' + } + }, + 'Name' => 'struct ibv_query_qp', + 'Size' => '24', + 'Type' => 'Struct' + }, + '20776' => { + 'Header' => undef, + 'Line' => '205', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'srq_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '20836' => { + 'Header' => undef, + 'Line' => '205', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '20776' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '8153' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '20859' => { + 'Header' => undef, + 'Line' => '205', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '20836' + } + }, + 'Name' => 'struct ibv_query_srq', + 'Size' => '24', + 'Type' => 'Struct' + }, + '209' => { + 'BaseType' => '185', + 'Header' => undef, + 'Line' => '194', + 'Name' => '__ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '20913' => { + 'Header' => undef, + 'Line' => '206', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'start', + 'offset' => '8', + 'type' => '1025' + }, + '2' => { + 'name' => 'length', + 'offset' => '22', + 'type' => '1025' + }, + '3' => { + 'name' => 'hca_va', + 'offset' => '36', + 'type' => '1025' + }, + '4' => { + 'name' => 'pd_handle', + 'offset' => '50', + 'type' => '1013' + }, + '5' => { + 'name' => 'access_flags', + 'offset' => '54', + 'type' => '1013' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '64', + 'type' => '1549' + } + }, + 'Size' => '40', + 'Type' => 'Struct' + }, + '2101' => { + 'Header' => undef, + 'Line' => '380', + 'Memb' => { + '0' => { + 'name' => 'lkey', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_rereg_mr_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '21012' => { + 'Header' => undef, + 'Line' => '206', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '20913' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '1788' + } + }, + 'Size' => '40', + 'Type' => 'Union' + }, + '21035' => { + 'Header' => undef, + 'Line' => '206', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '21012' + } + }, + 'Name' => 'struct ibv_reg_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '21196' => { + 'Header' => undef, + 'Line' => '208', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'mr_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'flags', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'start', + 'offset' => '22', + 'type' => '1025' + }, + '4' => { + 'name' => 'length', + 'offset' => '36', + 'type' => '1025' + }, + '5' => { + 'name' => 'hca_va', + 'offset' => '50', + 'type' => '1025' + }, + '6' => { + 'name' => 'pd_handle', + 'offset' => '64', + 'type' => '1013' + }, + '7' => { + 'name' => 'access_flags', + 'offset' => '68', + 'type' => '1013' + }, + '8' => { + 'name' => 'driver_data', + 'offset' => '72', + 'type' => '1549' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '21321' => { + 'Header' => undef, + 'Line' => '208', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '21196' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '1966' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '21344' => { + 'Header' => undef, + 'Line' => '208', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '21321' + } + }, + 'Name' => 'struct ibv_rereg_mr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '21396' => { + 'Header' => undef, + 'Line' => '209', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'cq_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'cqe', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '214178' => { + 'Header' => undef, + 'Line' => '182', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '10' => { + 'name' => 'max_inline_data', + 'offset' => '72', + 'type' => '1013' + }, + '11' => { + 'name' => 'sq_sig_all', + 'offset' => '82', + 'type' => '989' + }, + '12' => { + 'name' => 'qp_type', + 'offset' => '83', + 'type' => '989' + }, + '13' => { + 'name' => 'is_srq', + 'offset' => '84', + 'type' => '989' + }, + '14' => { + 'name' => 'reserved', + 'offset' => '85', + 'type' => '989' + }, + '15' => { + 'name' => 'driver_data', + 'offset' => '86', + 'type' => '1549' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'send_cq_handle', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'recv_cq_handle', + 'offset' => '36', + 'type' => '1013' + }, + '5' => { + 'name' => 'srq_handle', + 'offset' => '40', + 'type' => '1013' + }, + '6' => { + 'name' => 'max_send_wr', + 'offset' => '50', + 'type' => '1013' + }, + '7' => { + 'name' => 'max_recv_wr', + 'offset' => '54', + 'type' => '1013' + }, + '8' => { + 'name' => 'max_send_sge', + 'offset' => '64', + 'type' => '1013' + }, + '9' => { + 'name' => 'max_recv_sge', + 'offset' => '68', + 'type' => '1013' + } + }, + 'Size' => '56', + 'Type' => 'Struct' + }, + '214394' => { + 'Header' => undef, + 'Line' => '182', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '214178' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '199616' + } + }, + 'Size' => '56', + 'Type' => 'Union' + }, + '214421' => { + 'Header' => undef, + 'Line' => '182', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '214394' + } + }, + 'Name' => 'struct ibv_create_qp', + 'Size' => '64', + 'Type' => 'Struct' + }, + '21455' => { + 'Header' => undef, + 'Line' => '209', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '21396' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '2309' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '214615' => { + 'Header' => undef, + 'Line' => '213', + 'Memb' => { + '0' => { + 'name' => 'user_handle', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'pd_handle', + 'offset' => '8', + 'type' => '1013' + }, + '10' => { + 'name' => 'sq_sig_all', + 'offset' => '68', + 'type' => '989' + }, + '11' => { + 'name' => 'qp_type', + 'offset' => '69', + 'type' => '989' + }, + '12' => { + 'name' => 'is_srq', + 'offset' => '70', + 'type' => '989' + }, + '13' => { + 'name' => 'reserved', + 'offset' => '71', + 'type' => '989' + }, + '14' => { + 'name' => 'comp_mask', + 'offset' => '72', + 'type' => '1013' + }, + '15' => { + 'name' => 'create_flags', + 'offset' => '82', + 'type' => '1013' + }, + '16' => { + 'name' => 'rwq_ind_tbl_handle', + 'offset' => '86', + 'type' => '1013' + }, + '17' => { + 'name' => 'source_qpn', + 'offset' => '96', + 'type' => '1013' + }, + '2' => { + 'name' => 'send_cq_handle', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'recv_cq_handle', + 'offset' => '22', + 'type' => '1013' + }, + '4' => { + 'name' => 'srq_handle', + 'offset' => '32', + 'type' => '1013' + }, + '5' => { + 'name' => 'max_send_wr', + 'offset' => '36', + 'type' => '1013' + }, + '6' => { + 'name' => 'max_recv_wr', + 'offset' => '40', + 'type' => '1013' + }, + '7' => { + 'name' => 'max_send_sge', + 'offset' => '50', + 'type' => '1013' + }, + '8' => { + 'name' => 'max_recv_sge', + 'offset' => '54', + 'type' => '1013' + }, + '9' => { + 'name' => 'max_inline_data', + 'offset' => '64', + 'type' => '1013' + } + }, + 'Size' => '64', + 'Type' => 'Struct' + }, + '21478' => { + 'Header' => undef, + 'Line' => '209', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '21455' + } + }, + 'Name' => 'struct ibv_resize_cq', + 'Size' => '24', + 'Type' => 'Struct' + }, + '214857' => { + 'Header' => undef, + 'Line' => '213', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '214615' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '199878' + } + }, + 'Size' => '64', + 'Type' => 'Union' + }, + '214884' => { + 'Header' => undef, + 'Line' => '213', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '18410' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '214857' + } + }, + 'Name' => 'struct ibv_create_qp_ex', + 'Size' => '88', + 'Type' => 'Struct' + }, + '2156' => { + 'Header' => undef, + 'Line' => '390', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'pd_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'mw_type', + 'offset' => '18', + 'type' => '989' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '19', + 'type' => '2238' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_alloc_mw', + 'Size' => '16', + 'Type' => 'Struct' + }, + '21780' => { + 'Header' => undef, + 'Line' => '219', + 'Memb' => { + '0' => { + 'name' => 'cq_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'attr_mask', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '8519' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '18', + 'type' => '1013' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '21842' => { + 'Header' => undef, + 'Line' => '219', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '21780' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '8562' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '21869' => { + 'Header' => undef, + 'Line' => '219', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '18410' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '21842' + } + }, + 'Name' => 'struct ibv_modify_cq', + 'Size' => '40', + 'Type' => 'Struct' + }, + '21909' => { + 'Header' => undef, + 'Line' => '220', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '4098' + }, + '1' => { + 'name' => 'rate_limit', + 'offset' => '274', + 'type' => '1013' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '278', + 'type' => '1013' + } + }, + 'Size' => '120', + 'Type' => 'Struct' + }, + '21956' => { + 'Header' => undef, + 'Line' => '220', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '21909' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '4520' + } + }, + 'Size' => '120', + 'Type' => 'Union' + }, + '21979' => { + 'Header' => undef, + 'Line' => '220', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '18410' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '21956' + } + }, + 'Name' => 'struct ibv_modify_qp_ex', + 'Size' => '144', + 'Type' => 'Struct' + }, + '220204' => { + 'BaseType' => '214884', + 'Name' => 'struct ibv_create_qp_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '220209' => { + 'BaseType' => '200301', + 'Name' => 'struct ib_uverbs_ex_create_qp_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '22031' => { + 'Header' => undef, + 'Line' => '221', + 'Memb' => { + '0' => { + 'name' => 'attr_mask', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'wq_handle', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'wq_state', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'curr_wq_state', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'flags', + 'offset' => '22', + 'type' => '1013' + }, + '5' => { + 'name' => 'flags_mask', + 'offset' => '32', + 'type' => '1013' + } + }, + 'Size' => '24', + 'Type' => 'Struct' + }, + '220731' => { + 'BaseType' => '214421', + 'Name' => 'struct ibv_create_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '221' => { + 'BaseType' => '226', + 'Name' => 'char*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '22119' => { + 'Header' => undef, + 'Line' => '221', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '22031' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '8292' + } + }, + 'Size' => '24', + 'Type' => 'Union' + }, + '22146' => { + 'Header' => undef, + 'Line' => '221', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '18410' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '22119' + } + }, + 'Name' => 'struct ibv_modify_wq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '2238' => { + 'BaseType' => '989', + 'Name' => '__u8[3]', + 'Size' => '3', + 'Type' => 'Array' + }, + '2254' => { + 'Header' => undef, + 'Line' => '398', + 'Memb' => { + '0' => { + 'name' => 'mw_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_alloc_mw_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '226' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '22792' => { + 'Header' => undef, + 'Line' => '111', + 'Memb' => { + '0' => { + 'name' => 'xrcd', + 'offset' => '0', + 'type' => '11325' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'handle', + 'offset' => '18', + 'type' => '953' + } + }, + 'Name' => 'struct verbs_xrcd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '22845' => { + 'BaseType' => '22792', + 'Name' => 'struct verbs_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '22879' => { + 'Header' => undef, + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => 'IBV_MR_TYPE_MR', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_MR_TYPE_NULL_MR', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_MR_TYPE_IMPORTED_MR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_MR_TYPE_DMABUF_MR', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_mr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '22920' => { + 'Header' => undef, + 'Line' => '148', + 'Memb' => { + '0' => { + 'name' => 'ibv_mr', + 'offset' => '0', + 'type' => '11074' + }, + '1' => { + 'name' => 'mr_type', + 'offset' => '72', + 'type' => '22879' + }, + '2' => { + 'name' => 'access', + 'offset' => '82', + 'type' => '161' + } + }, + 'Name' => 'struct verbs_mr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '22973' => { + 'Header' => undef, + 'Line' => '160', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '9739' + }, + '1' => { + 'name' => 'qp_ex', + 'offset' => '0', + 'type' => '14235' + } + }, + 'Size' => '360', + 'Type' => 'Union' + }, + '23007' => { + 'Header' => undef, + 'Line' => '159', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '22973' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '864', + 'type' => '953' + }, + '2' => { + 'name' => 'xrcd', + 'offset' => '872', + 'type' => '22845' + } + }, + 'Name' => 'struct verbs_qp', + 'Size' => '376', + 'Type' => 'Struct' + }, + '23054' => { + 'Header' => undef, + 'Line' => '176', + 'Memb' => { + '0' => { + 'name' => 'IBV_FLOW_ACTION_UNSPECIFIED', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_FLOW_ACTION_ESP', + 'value' => '1' + } + }, + 'Name' => 'enum ibv_flow_action_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '23083' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'action', + 'offset' => '0', + 'type' => '16763' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'type', + 'offset' => '18', + 'type' => '23054' + } + }, + 'Name' => 'struct verbs_flow_action', + 'Size' => '16', + 'Type' => 'Struct' + }, + '2309' => { + 'Header' => undef, + 'Line' => '453', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'cq_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'cqe', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_resize_cq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '23141' => { + 'Header' => undef, + 'Line' => '299', + 'Memb' => { + '0' => { + 'name' => 'counters', + 'offset' => '0', + 'type' => '16859' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '953' + } + }, + 'Name' => 'struct verbs_counters', + 'Size' => '16', + 'Type' => 'Struct' + }, + '23189' => { + 'BaseType' => '8707', + 'Name' => 'union ibv_gid const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '23194' => { + 'BaseType' => '11598', + 'Name' => 'struct ibv_ah_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '23199' => { + 'BaseType' => '12309', + 'Name' => 'struct ibv_qp_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '23204' => { + 'BaseType' => '22920', + 'Name' => 'struct verbs_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '23209' => { + 'BaseType' => '12834', + 'Name' => 'struct ibv_qp_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '23214' => { + 'BaseType' => '11710', + 'Name' => 'struct ibv_srq_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '23549' => { + 'BaseType' => '161', + 'Name' => 'int*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '236138' => { + 'Header' => undef, + 'Line' => '1173', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'max_wr', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '36', + 'type' => '1013' + }, + '5' => { + 'name' => 'srq_limit', + 'offset' => '40', + 'type' => '1013' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '50', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_create_srq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '236251' => { + 'Header' => undef, + 'Line' => '1183', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '10' => { + 'name' => 'driver_data', + 'offset' => '72', + 'type' => '1549' + }, + '2' => { + 'name' => 'srq_type', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'pd_handle', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'max_wr', + 'offset' => '36', + 'type' => '1013' + }, + '5' => { + 'name' => 'max_sge', + 'offset' => '40', + 'type' => '1013' + }, + '6' => { + 'name' => 'srq_limit', + 'offset' => '50', + 'type' => '1013' + }, + '7' => { + 'name' => 'max_num_tags', + 'offset' => '54', + 'type' => '1013' + }, + '8' => { + 'name' => 'xrcd_handle', + 'offset' => '64', + 'type' => '1013' + }, + '9' => { + 'name' => 'cq_handle', + 'offset' => '68', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_create_xsrq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '236420' => { + 'Header' => undef, + 'Line' => '1197', + 'Memb' => { + '0' => { + 'name' => 'srq_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'max_wr', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'max_sge', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'srqn', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1663' + } + }, + 'Name' => 'struct ib_uverbs_create_srq_resp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '23769' => { + 'BaseType' => '21869', + 'Name' => 'struct ibv_modify_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2377' => { + 'Header' => undef, + 'Line' => '460', + 'Memb' => { + '0' => { + 'name' => 'cqe', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_resize_cq_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '24173' => { + 'BaseType' => '8448', + 'Name' => 'struct ib_uverbs_ex_create_rwq_ind_table_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '24420' => { + 'BaseType' => '22146', + 'Name' => 'struct ibv_modify_wq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '249217' => { + 'Header' => undef, + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'max_wr', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '36', + 'type' => '1013' + }, + '5' => { + 'name' => 'srq_limit', + 'offset' => '40', + 'type' => '1013' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '50', + 'type' => '1549' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '249316' => { + 'Header' => undef, + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '249217' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '236138' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '249343' => { + 'Header' => undef, + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '249316' + } + }, + 'Name' => 'struct ibv_create_srq', + 'Size' => '40', + 'Type' => 'Struct' + }, + '249408' => { + 'Header' => undef, + 'Line' => '184', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '10' => { + 'name' => 'driver_data', + 'offset' => '72', + 'type' => '1549' + }, + '2' => { + 'name' => 'srq_type', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'pd_handle', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'max_wr', + 'offset' => '36', + 'type' => '1013' + }, + '5' => { + 'name' => 'max_sge', + 'offset' => '40', + 'type' => '1013' + }, + '6' => { + 'name' => 'srq_limit', + 'offset' => '50', + 'type' => '1013' + }, + '7' => { + 'name' => 'max_num_tags', + 'offset' => '54', + 'type' => '1013' + }, + '8' => { + 'name' => 'xrcd_handle', + 'offset' => '64', + 'type' => '1013' + }, + '9' => { + 'name' => 'cq_handle', + 'offset' => '68', + 'type' => '1013' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '249559' => { + 'Header' => undef, + 'Line' => '184', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '249408' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '236251' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '249586' => { + 'Header' => undef, + 'Line' => '184', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '249559' + } + }, + 'Name' => 'struct ibv_create_xsrq', + 'Size' => '56', + 'Type' => 'Struct' + }, + '250090' => { + 'Header' => undef, + 'Line' => '117', + 'Memb' => { + '0' => { + 'name' => 'srq', + 'offset' => '0', + 'type' => '9940' + }, + '1' => { + 'name' => 'srq_type', + 'offset' => '296', + 'type' => '57258' + }, + '2' => { + 'name' => 'xrcd', + 'offset' => '310', + 'type' => '22845' + }, + '3' => { + 'name' => 'cq', + 'offset' => '324', + 'type' => '9734' + }, + '4' => { + 'name' => 'srq_num', + 'offset' => '338', + 'type' => '953' + } + }, + 'Name' => 'struct verbs_srq', + 'Size' => '160', + 'Type' => 'Struct' + }, + '254' => { + 'BaseType' => '209', + 'Header' => undef, + 'Line' => '77', + 'Name' => 'ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '254243' => { + 'BaseType' => '250090', + 'Name' => 'struct verbs_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '254248' => { + 'BaseType' => '249586', + 'Name' => 'struct ibv_create_xsrq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '254253' => { + 'BaseType' => '236420', + 'Name' => 'struct ib_uverbs_create_srq_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '254783' => { + 'BaseType' => '249343', + 'Name' => 'struct ibv_create_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '261618' => { + 'Header' => undef, + 'Line' => '1237', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'wq_type', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '3' => { + 'name' => 'pd_handle', + 'offset' => '22', + 'type' => '1013' + }, + '4' => { + 'name' => 'cq_handle', + 'offset' => '32', + 'type' => '1013' + }, + '5' => { + 'name' => 'max_wr', + 'offset' => '36', + 'type' => '1013' + }, + '6' => { + 'name' => 'max_sge', + 'offset' => '40', + 'type' => '1013' + }, + '7' => { + 'name' => 'create_flags', + 'offset' => '50', + 'type' => '1013' + }, + '8' => { + 'name' => 'reserved', + 'offset' => '54', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_wq', + 'Size' => '40', + 'Type' => 'Struct' + }, + '261761' => { + 'Header' => undef, + 'Line' => '1249', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'response_length', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'wq_handle', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'max_wr', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '22', + 'type' => '1013' + }, + '5' => { + 'name' => 'wqn', + 'offset' => '32', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_wq_resp', + 'Size' => '24', + 'Type' => 'Struct' + }, + '266' => { + 'Name' => 'long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '27330' => { + 'BaseType' => '5543', + 'Name' => 'struct ib_uverbs_create_ah_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '274660' => { + 'Header' => undef, + 'Line' => '215', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'wq_type', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '3' => { + 'name' => 'pd_handle', + 'offset' => '22', + 'type' => '1013' + }, + '4' => { + 'name' => 'cq_handle', + 'offset' => '32', + 'type' => '1013' + }, + '5' => { + 'name' => 'max_wr', + 'offset' => '36', + 'type' => '1013' + }, + '6' => { + 'name' => 'max_sge', + 'offset' => '40', + 'type' => '1013' + }, + '7' => { + 'name' => 'create_flags', + 'offset' => '50', + 'type' => '1013' + }, + '8' => { + 'name' => 'reserved', + 'offset' => '54', + 'type' => '1013' + } + }, + 'Size' => '40', + 'Type' => 'Struct' + }, + '274788' => { + 'Header' => undef, + 'Line' => '215', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '274660' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '261618' + } + }, + 'Size' => '40', + 'Type' => 'Union' + }, + '274816' => { + 'Header' => undef, + 'Line' => '215', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '18410' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '274788' + } + }, + 'Name' => 'struct ibv_create_wq', + 'Size' => '64', + 'Type' => 'Struct' + }, + '281926' => { + 'BaseType' => '274816', + 'Name' => 'struct ibv_create_wq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '281931' => { + 'BaseType' => '261761', + 'Name' => 'struct ib_uverbs_ex_create_wq_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2856' => { + 'Header' => undef, + 'Line' => '528', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '2955' + }, + '1' => { + 'name' => 'flow_label', + 'offset' => '22', + 'type' => '1013' + }, + '2' => { + 'name' => 'sgid_index', + 'offset' => '32', + 'type' => '989' + }, + '3' => { + 'name' => 'hop_limit', + 'offset' => '33', + 'type' => '989' + }, + '4' => { + 'name' => 'traffic_class', + 'offset' => '34', + 'type' => '989' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '35', + 'type' => '989' + } + }, + 'Name' => 'struct ib_uverbs_global_route', + 'Size' => '24', + 'Type' => 'Struct' + }, + '28996' => { + 'BaseType' => '21979', + 'Name' => 'struct ibv_modify_qp_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29001' => { + 'BaseType' => '4575', + 'Name' => 'struct ib_uverbs_ex_modify_qp_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29220' => { + 'BaseType' => '19542', + 'Name' => 'struct ibv_modify_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2955' => { + 'BaseType' => '989', + 'Name' => '__u8[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '2971' => { + 'Header' => undef, + 'Line' => '537', + 'Memb' => { + '0' => { + 'name' => 'grh', + 'offset' => '0', + 'type' => '2856' + }, + '1' => { + 'name' => 'dlid', + 'offset' => '36', + 'type' => '1001' + }, + '2' => { + 'name' => 'sl', + 'offset' => '38', + 'type' => '989' + }, + '3' => { + 'name' => 'src_path_bits', + 'offset' => '39', + 'type' => '989' + }, + '4' => { + 'name' => 'static_rate', + 'offset' => '40', + 'type' => '989' + }, + '5' => { + 'name' => 'is_global', + 'offset' => '41', + 'type' => '989' + }, + '6' => { + 'name' => 'port_num', + 'offset' => '48', + 'type' => '989' + }, + '7' => { + 'name' => 'reserved', + 'offset' => '49', + 'type' => '989' + } + }, + 'Name' => 'struct ib_uverbs_ah_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '29717' => { + 'BaseType' => '20724', + 'Name' => 'struct ibv_query_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30110' => { + 'BaseType' => '23007', + 'Name' => 'struct verbs_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30115' => { + 'BaseType' => '19843', + 'Name' => 'struct ibv_open_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30120' => { + 'BaseType' => '3245', + 'Name' => 'struct ib_uverbs_create_qp_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30376' => { + 'BaseType' => '20859', + 'Name' => 'struct ibv_query_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305685' => { + 'Header' => undef, + 'Line' => '44', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '305830' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'real_pd', + 'offset' => '22', + 'type' => '11395' + } + }, + 'Name' => 'struct ibv_pd_1_0', + 'Size' => '24', + 'Type' => 'Struct' + }, + '305738' => { + 'Header' => undef, + 'Line' => '218', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '307624' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '307009' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '548', + 'type' => '161' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '552', + 'type' => '161' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '562', + 'type' => '161' + }, + '5' => { + 'name' => 'real_context', + 'offset' => '576', + 'type' => '8991' + } + }, + 'Name' => 'struct ibv_context_1_0', + 'Size' => '248', + 'Type' => 'Struct' + }, + '305830' => { + 'BaseType' => '305738', + 'Name' => 'struct ibv_context_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305835' => { + 'Header' => undef, + 'Line' => '51', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '305830' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '305926' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '953' + }, + '3' => { + 'name' => 'lkey', + 'offset' => '32', + 'type' => '953' + }, + '4' => { + 'name' => 'rkey', + 'offset' => '36', + 'type' => '953' + }, + '5' => { + 'name' => 'real_mr', + 'offset' => '50', + 'type' => '11186' + } + }, + 'Name' => 'struct ibv_mr_1_0', + 'Size' => '40', + 'Type' => 'Struct' + }, + '30584' => { + 'BaseType' => '19680', + 'Name' => 'struct ibv_modify_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305926' => { + 'BaseType' => '305685', + 'Name' => 'struct ibv_pd_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305931' => { + 'Header' => undef, + 'Line' => '61', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '305830' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '82' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '305926' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '953' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '291038' + }, + '5' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '291112' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '288', + 'type' => '953' + }, + '7' => { + 'name' => 'real_srq', + 'offset' => '296', + 'type' => '10052' + } + }, + 'Name' => 'struct ibv_srq_1_0', + 'Size' => '136', + 'Type' => 'Struct' + }, + '306048' => { + 'Header' => undef, + 'Line' => '74', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '306284' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '306284' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '306289' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '12224' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '12165' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_qp_init_attr_1_0', + 'Size' => '64', + 'Type' => 'Struct' + }, + '306153' => { + 'Header' => undef, + 'Line' => '137', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '305830' + }, + '1' => { + 'name' => 'cq_context', + 'offset' => '8', + 'type' => '82' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '953' + }, + '3' => { + 'name' => 'cqe', + 'offset' => '32', + 'type' => '161' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '36', + 'type' => '291038' + }, + '5' => { + 'name' => 'cond', + 'offset' => '100', + 'type' => '291112' + }, + '6' => { + 'name' => 'comp_events_completed', + 'offset' => '274', + 'type' => '953' + }, + '7' => { + 'name' => 'async_events_completed', + 'offset' => '278', + 'type' => '953' + }, + '8' => { + 'name' => 'real_cq', + 'offset' => '288', + 'type' => '9734' + } + }, + 'Name' => 'struct ibv_cq_1_0', + 'Size' => '128', + 'Type' => 'Struct' + }, + '306284' => { + 'BaseType' => '306153', + 'Name' => 'struct ibv_cq_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '306289' => { + 'BaseType' => '305931', + 'Name' => 'struct ibv_srq_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '306294' => { + 'Header' => undef, + 'Line' => '93', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '953' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '306330' => { + 'Header' => undef, + 'Line' => '97', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '965' + }, + '2' => { + 'name' => 'swap', + 'offset' => '22', + 'type' => '965' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '36', + 'type' => '953' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '306392' => { + 'Header' => undef, + 'Line' => '103', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '306505' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '18', + 'type' => '953' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '306440' => { + 'Header' => undef, + 'Line' => '151', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '305830' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '305926' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '953' + }, + '3' => { + 'name' => 'real_ah', + 'offset' => '36', + 'type' => '13672' + } + }, + 'Name' => 'struct ibv_ah_1_0', + 'Size' => '32', + 'Type' => 'Struct' + }, + '306505' => { + 'BaseType' => '306440', + 'Name' => 'struct ibv_ah_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '306510' => { + 'Header' => undef, + 'Line' => '92', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '306294' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '306330' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '306392' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '306555' => { + 'Header' => undef, + 'Line' => '84', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '306672' + }, + '1' => { + 'name' => 'wr_id', + 'offset' => '8', + 'type' => '965' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '14062' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '161' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '40', + 'type' => '13213' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '50', + 'type' => '161' + }, + '6' => { + 'name' => 'imm_data', + 'offset' => '54', + 'type' => '1049' + }, + '7' => { + 'name' => 'wr', + 'offset' => '64', + 'type' => '306510' + } + }, + 'Name' => 'struct ibv_send_wr_1_0', + 'Size' => '72', + 'Type' => 'Struct' + }, + '306672' => { + 'BaseType' => '306555', + 'Name' => 'struct ibv_send_wr_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '306677' => { + 'Header' => undef, + 'Line' => '111', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '306743' + }, + '1' => { + 'name' => 'wr_id', + 'offset' => '8', + 'type' => '965' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '14062' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_recv_wr_1_0', + 'Size' => '32', + 'Type' => 'Struct' + }, + '306743' => { + 'BaseType' => '306677', + 'Name' => 'struct ibv_recv_wr_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '306748' => { + 'Header' => undef, + 'Line' => '118', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '305830' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '82' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '100', + 'type' => '291038' + }, + '11' => { + 'name' => 'cond', + 'offset' => '260', + 'type' => '291112' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '338', + 'type' => '953' + }, + '13' => { + 'name' => 'real_qp', + 'offset' => '352', + 'type' => '9935' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '305926' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '36', + 'type' => '306284' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '50', + 'type' => '306284' + }, + '5' => { + 'name' => 'srq', + 'offset' => '64', + 'type' => '306289' + }, + '6' => { + 'name' => 'handle', + 'offset' => '72', + 'type' => '953' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '82', + 'type' => '953' + }, + '8' => { + 'name' => 'state', + 'offset' => '86', + 'type' => '12734' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '96', + 'type' => '12165' + } + }, + 'Name' => 'struct ibv_qp_1_0', + 'Size' => '168', + 'Type' => 'Struct' + }, + '306943' => { + 'Header' => undef, + 'Line' => '159', + 'Memb' => { + '0' => { + 'name' => 'obsolete_sysfs_dev', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'obsolete_sysfs_ibdev', + 'offset' => '8', + 'type' => '82' + }, + '2' => { + 'name' => 'real_device', + 'offset' => '22', + 'type' => '17378' + }, + '3' => { + 'name' => '_ops', + 'offset' => '36', + 'type' => '17315' + } + }, + 'Name' => 'struct ibv_device_1_0', + 'Size' => '40', + 'Type' => 'Struct' + }, + '307009' => { + 'Header' => undef, + 'Line' => '166', + 'Memb' => { + '0' => { + 'name' => 'query_device', + 'offset' => '0', + 'type' => '18045' + }, + '1' => { + 'name' => 'query_port', + 'offset' => '8', + 'type' => '67486' + }, + '10' => { + 'name' => 'resize_cq', + 'offset' => '128', + 'type' => '18235' + }, + '11' => { + 'name' => 'destroy_cq', + 'offset' => '136', + 'type' => '67241' + }, + '12' => { + 'name' => 'create_srq', + 'offset' => '150', + 'type' => '67156' + }, + '13' => { + 'name' => 'modify_srq', + 'offset' => '260', + 'type' => '67426' + }, + '14' => { + 'name' => 'query_srq', + 'offset' => '274', + 'type' => '67576' + }, + '15' => { + 'name' => 'destroy_srq', + 'offset' => '288', + 'type' => '67281' + }, + '16' => { + 'name' => 'post_srq_recv', + 'offset' => '296', + 'type' => '307514' + }, + '17' => { + 'name' => 'create_qp', + 'offset' => '310', + 'type' => '67126' + }, + '18' => { + 'name' => 'query_qp', + 'offset' => '324', + 'type' => '67521' + }, + '19' => { + 'name' => 'modify_qp', + 'offset' => '338', + 'type' => '67391' + }, + '2' => { + 'name' => 'alloc_pd', + 'offset' => '22', + 'type' => '66954' + }, + '20' => { + 'name' => 'destroy_qp', + 'offset' => '352', + 'type' => '67261' + }, + '21' => { + 'name' => 'post_send', + 'offset' => '360', + 'type' => '307554' + }, + '22' => { + 'name' => 'post_recv', + 'offset' => '374', + 'type' => '307584' + }, + '23' => { + 'name' => 'create_ah', + 'offset' => '388', + 'type' => '67061' + }, + '24' => { + 'name' => 'destroy_ah', + 'offset' => '402', + 'type' => '67221' + }, + '25' => { + 'name' => 'attach_mcast', + 'offset' => '512', + 'type' => '307619' + }, + '26' => { + 'name' => 'detach_mcast', + 'offset' => '520', + 'type' => '307619' + }, + '3' => { + 'name' => 'dealloc_pd', + 'offset' => '36', + 'type' => '67176' + }, + '4' => { + 'name' => 'reg_mr', + 'offset' => '50', + 'type' => '307404' + }, + '5' => { + 'name' => 'dereg_mr', + 'offset' => '64', + 'type' => '307424' + }, + '6' => { + 'name' => 'create_cq', + 'offset' => '72', + 'type' => '67096' + }, + '7' => { + 'name' => 'poll_cq', + 'offset' => '86', + 'type' => '307454' + }, + '8' => { + 'name' => 'req_notify_cq', + 'offset' => '100', + 'type' => '307479' + }, + '9' => { + 'name' => 'cq_event', + 'offset' => '114', + 'type' => '67031' + } + }, + 'Name' => 'struct ibv_context_ops_1_0', + 'Size' => '216', + 'Type' => 'Struct' + }, + '307404' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, void*, size_t, int)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '82' + }, + '2' => { + 'type' => '53' + }, + '3' => { + 'type' => '161' + } + }, + 'Return' => '11186', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '307424' => { + 'Name' => 'int(*)(struct ibv_mr*)', + 'Param' => { + '0' => { + 'type' => '11186' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '307454' => { + 'Name' => 'int(*)(struct ibv_cq_1_0*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '306284' + }, + '1' => { + 'type' => '161' + }, + '2' => { + 'type' => '18205' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '307479' => { + 'Name' => 'int(*)(struct ibv_cq_1_0*, int)', + 'Param' => { + '0' => { + 'type' => '306284' + }, + '1' => { + 'type' => '161' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '307509' => { + 'BaseType' => '306743', + 'Name' => 'struct ibv_recv_wr_1_0**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '307514' => { + 'Name' => 'int(*)(struct ibv_srq_1_0*, struct ibv_recv_wr_1_0*, struct ibv_recv_wr_1_0**)', + 'Param' => { + '0' => { + 'type' => '306289' + }, + '1' => { + 'type' => '306743' + }, + '2' => { + 'type' => '307509' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '307544' => { + 'BaseType' => '306748', + 'Name' => 'struct ibv_qp_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '307549' => { + 'BaseType' => '306672', + 'Name' => 'struct ibv_send_wr_1_0**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '307554' => { + 'Name' => 'int(*)(struct ibv_qp_1_0*, struct ibv_send_wr_1_0*, struct ibv_send_wr_1_0**)', + 'Param' => { + '0' => { + 'type' => '307544' + }, + '1' => { + 'type' => '306672' + }, + '2' => { + 'type' => '307549' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '307584' => { + 'Name' => 'int(*)(struct ibv_qp_1_0*, struct ibv_recv_wr_1_0*, struct ibv_recv_wr_1_0**)', + 'Param' => { + '0' => { + 'type' => '307544' + }, + '1' => { + 'type' => '306743' + }, + '2' => { + 'type' => '307509' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '307619' => { + 'Name' => 'int(*)(struct ibv_qp*, union ibv_gid*, uint16_t)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '97951' + }, + '2' => { + 'type' => '941' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '307624' => { + 'BaseType' => '306943', + 'Name' => 'struct ibv_device_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '307629' => { + 'BaseType' => '307641', + 'Header' => undef, + 'Line' => '228', + 'Name' => 'ibv_driver_init_func_1_1', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '307641' => { + 'Name' => 'struct ibv_device*(*)(char const*, int)', + 'Param' => { + '0' => { + 'type' => '74066' + }, + '1' => { + 'type' => '161' + } + }, + 'Return' => '17378', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '308074' => { + 'BaseType' => '9734', + 'Name' => 'struct ibv_cq**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '308366' => { + 'BaseType' => '1037', + 'Name' => '__be16*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '308651' => { + 'BaseType' => '17378', + 'Name' => 'struct ibv_device**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '3097' => { + 'BaseType' => '989', + 'Name' => '__u8[5]', + 'Size' => '5', + 'Type' => 'Array' + }, + '309747' => { + 'BaseType' => '306048', + 'Name' => 'struct ibv_qp_init_attr_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '310754' => { + 'BaseType' => '306284', + 'Name' => 'struct ibv_cq_1_0**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '311324' => { + 'BaseType' => '305835', + 'Name' => 'struct ibv_mr_1_0*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '31177' => { + 'BaseType' => '21478', + 'Name' => 'struct ibv_resize_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '31182' => { + 'BaseType' => '2377', + 'Name' => 'struct ib_uverbs_resize_cq_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '3136' => { + 'Header' => undef, + 'Line' => '634', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '2' => { + 'name' => 'pd_handle', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'qpn', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'qp_type', + 'offset' => '36', + 'type' => '989' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '37', + 'type' => '1564' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '50', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_open_qp', + 'Size' => '32', + 'Type' => 'Struct' + }, + '314401' => { + 'BaseType' => '307624', + 'Name' => 'struct ibv_device_1_0**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32019' => { + 'BaseType' => '18545', + 'Name' => 'struct ibv_alloc_mw*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32024' => { + 'BaseType' => '2254', + 'Name' => 'struct ib_uverbs_alloc_mw_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32418' => { + 'BaseType' => '21344', + 'Name' => 'struct ibv_rereg_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32423' => { + 'BaseType' => '2101', + 'Name' => 'struct ib_uverbs_rereg_mr_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '3245' => { + 'Header' => undef, + 'Line' => '645', + 'Memb' => { + '0' => { + 'name' => 'qp_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'qpn', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'max_send_wr', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'max_recv_wr', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'max_send_sge', + 'offset' => '22', + 'type' => '1013' + }, + '5' => { + 'name' => 'max_recv_sge', + 'offset' => '32', + 'type' => '1013' + }, + '6' => { + 'name' => 'max_inline_data', + 'offset' => '36', + 'type' => '1013' + }, + '7' => { + 'name' => 'reserved', + 'offset' => '40', + 'type' => '1013' + }, + '8' => { + 'name' => 'driver_data', + 'offset' => '50', + 'type' => '1663' + } + }, + 'Name' => 'struct ib_uverbs_create_qp_resp', + 'Size' => '32', + 'Type' => 'Struct' + }, + '32762' => { + 'BaseType' => '21035', + 'Name' => 'struct ibv_reg_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32767' => { + 'BaseType' => '1895', + 'Name' => 'struct ib_uverbs_reg_mr_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33068' => { + 'BaseType' => '19978', + 'Name' => 'struct ibv_open_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33073' => { + 'BaseType' => '1745', + 'Name' => 'struct ib_uverbs_open_xrcd_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '332609' => { + 'BaseType' => '65816', + 'Name' => 'struct verbs_context_ops const', + 'Size' => '608', + 'Type' => 'Const' + }, + '33343' => { + 'BaseType' => '18654', + 'Name' => 'struct ibv_alloc_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33348' => { + 'BaseType' => '1620', + 'Name' => 'struct ib_uverbs_alloc_pd_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '333953' => { + 'BaseType' => '332609', + 'Name' => 'struct verbs_context_ops const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '3401' => { + 'Header' => undef, + 'Line' => '667', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '2955' + }, + '1' => { + 'name' => 'flow_label', + 'offset' => '22', + 'type' => '1013' + }, + '10' => { + 'name' => 'is_global', + 'offset' => '48', + 'type' => '989' + }, + '11' => { + 'name' => 'port_num', + 'offset' => '49', + 'type' => '989' + }, + '2' => { + 'name' => 'dlid', + 'offset' => '32', + 'type' => '1001' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '34', + 'type' => '1001' + }, + '4' => { + 'name' => 'sgid_index', + 'offset' => '36', + 'type' => '989' + }, + '5' => { + 'name' => 'hop_limit', + 'offset' => '37', + 'type' => '989' + }, + '6' => { + 'name' => 'traffic_class', + 'offset' => '38', + 'type' => '989' + }, + '7' => { + 'name' => 'sl', + 'offset' => '39', + 'type' => '989' + }, + '8' => { + 'name' => 'src_path_bits', + 'offset' => '40', + 'type' => '989' + }, + '9' => { + 'name' => 'static_rate', + 'offset' => '41', + 'type' => '989' + } + }, + 'Name' => 'struct ib_uverbs_qp_dest', + 'Size' => '32', + 'Type' => 'Struct' + }, + '34361' => { + 'BaseType' => '266', + 'Header' => undef, + 'Line' => '30', + 'Name' => '__s64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '34457' => { + 'Header' => undef, + 'Line' => '59', + 'Memb' => { + '0' => { + 'name' => 'elem_id', + 'offset' => '0', + 'type' => '989' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '1', + 'type' => '989' + } + }, + 'Size' => '2', + 'Type' => 'Struct' + }, + '34493' => { + 'Header' => undef, + 'Line' => '58', + 'Memb' => { + '0' => { + 'name' => 'enum_data', + 'offset' => '0', + 'type' => '34457' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '0', + 'type' => '1001' + } + }, + 'Size' => '2', + 'Type' => 'Union' + }, + '34527' => { + 'Header' => undef, + 'Line' => '65', + 'Memb' => { + '0' => { + 'name' => 'data', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'data_s64', + 'offset' => '0', + 'type' => '34361' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '34563' => { + 'Header' => undef, + 'Line' => '54', + 'Memb' => { + '0' => { + 'name' => 'attr_id', + 'offset' => '0', + 'type' => '1001' + }, + '1' => { + 'name' => 'len', + 'offset' => '2', + 'type' => '1001' + }, + '2' => { + 'name' => 'flags', + 'offset' => '4', + 'type' => '1001' + }, + '3' => { + 'name' => 'attr_data', + 'offset' => '6', + 'type' => '34493' + }, + '4' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '34527' + } + }, + 'Name' => 'struct ib_uverbs_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '34635' => { + 'Header' => undef, + 'Line' => '76', + 'Memb' => { + '0' => { + 'name' => 'length', + 'offset' => '0', + 'type' => '1001' + }, + '1' => { + 'name' => 'object_id', + 'offset' => '2', + 'type' => '1001' + }, + '2' => { + 'name' => 'method_id', + 'offset' => '4', + 'type' => '1001' + }, + '3' => { + 'name' => 'num_attrs', + 'offset' => '6', + 'type' => '1001' + }, + '4' => { + 'name' => 'reserved1', + 'offset' => '8', + 'type' => '1025' + }, + '5' => { + 'name' => 'driver_id', + 'offset' => '22', + 'type' => '1013' + }, + '6' => { + 'name' => 'reserved2', + 'offset' => '32', + 'type' => '1013' + }, + '7' => { + 'name' => 'attrs', + 'offset' => '36', + 'type' => '34750' + } + }, + 'Name' => 'struct ib_uverbs_ioctl_hdr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '34750' => { + 'BaseType' => '34563', + 'Name' => 'struct ib_uverbs_attr[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '348' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '3583' => { + 'Header' => undef, + 'Line' => '682', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'qp_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'attr_mask', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_query_qp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '39865' => { + 'Header' => undef, + 'Line' => '85', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '40016' + }, + '1' => { + 'name' => 'next_attr', + 'offset' => '8', + 'type' => '40021' + }, + '2' => { + 'name' => 'last_attr', + 'offset' => '22', + 'type' => '40021' + }, + '3' => { + 'name' => 'uhw_in_idx', + 'offset' => '36', + 'type' => '929' + }, + '4' => { + 'name' => 'uhw_out_idx', + 'offset' => '37', + 'type' => '929' + }, + '5' => { + 'name' => 'uhw_in_headroom_dwords', + 'offset' => '38', + 'type' => '929' + }, + '6' => { + 'name' => 'uhw_out_headroom_dwords', + 'offset' => '39', + 'type' => '929' + }, + '7' => { + 'name' => 'hdr', + 'offset' => '50', + 'type' => '34635' + } + }, + 'Name' => 'struct ibv_command_buffer', + 'Size' => '56', + 'Type' => 'Struct' + }, + '40016' => { + 'BaseType' => '39865', + 'Name' => 'struct ibv_command_buffer*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '40021' => { + 'BaseType' => '34563', + 'Name' => 'struct ib_uverbs_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '403667' => { + 'Header' => undef, + 'Line' => '548', + 'Memb' => { + '0' => { + 'name' => 'qp_attr_mask', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'qp_state', + 'offset' => '4', + 'type' => '1013' + }, + '10' => { + 'name' => 'ah_attr', + 'offset' => '64', + 'type' => '2971' + }, + '11' => { + 'name' => 'alt_ah_attr', + 'offset' => '114', + 'type' => '2971' + }, + '12' => { + 'name' => 'max_send_wr', + 'offset' => '260', + 'type' => '1013' + }, + '13' => { + 'name' => 'max_recv_wr', + 'offset' => '264', + 'type' => '1013' + }, + '14' => { + 'name' => 'max_send_sge', + 'offset' => '274', + 'type' => '1013' + }, + '15' => { + 'name' => 'max_recv_sge', + 'offset' => '278', + 'type' => '1013' + }, + '16' => { + 'name' => 'max_inline_data', + 'offset' => '288', + 'type' => '1013' + }, + '17' => { + 'name' => 'pkey_index', + 'offset' => '292', + 'type' => '1001' + }, + '18' => { + 'name' => 'alt_pkey_index', + 'offset' => '294', + 'type' => '1001' + }, + '19' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '296', + 'type' => '989' + }, + '2' => { + 'name' => 'cur_qp_state', + 'offset' => '8', + 'type' => '1013' + }, + '20' => { + 'name' => 'sq_draining', + 'offset' => '297', + 'type' => '989' + }, + '21' => { + 'name' => 'max_rd_atomic', + 'offset' => '304', + 'type' => '989' + }, + '22' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '305', + 'type' => '989' + }, + '23' => { + 'name' => 'min_rnr_timer', + 'offset' => '306', + 'type' => '989' + }, + '24' => { + 'name' => 'port_num', + 'offset' => '307', + 'type' => '989' + }, + '25' => { + 'name' => 'timeout', + 'offset' => '308', + 'type' => '989' + }, + '26' => { + 'name' => 'retry_cnt', + 'offset' => '309', + 'type' => '989' + }, + '27' => { + 'name' => 'rnr_retry', + 'offset' => '310', + 'type' => '989' + }, + '28' => { + 'name' => 'alt_port_num', + 'offset' => '311', + 'type' => '989' + }, + '29' => { + 'name' => 'alt_timeout', + 'offset' => '312', + 'type' => '989' + }, + '3' => { + 'name' => 'path_mtu', + 'offset' => '18', + 'type' => '1013' + }, + '30' => { + 'name' => 'reserved', + 'offset' => '313', + 'type' => '3097' + }, + '4' => { + 'name' => 'path_mig_state', + 'offset' => '22', + 'type' => '1013' + }, + '5' => { + 'name' => 'qkey', + 'offset' => '32', + 'type' => '1013' + }, + '6' => { + 'name' => 'rq_psn', + 'offset' => '36', + 'type' => '1013' + }, + '7' => { + 'name' => 'sq_psn', + 'offset' => '40', + 'type' => '1013' + }, + '8' => { + 'name' => 'dest_qp_num', + 'offset' => '50', + 'type' => '1013' + }, + '9' => { + 'name' => 'qp_access_flags', + 'offset' => '54', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_qp_attr', + 'Size' => '144', + 'Type' => 'Struct' + }, + '405033' => { + 'Header' => undef, + 'Line' => '40', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '8669' + }, + '1' => { + 'name' => 'sgid', + 'offset' => '22', + 'type' => '8669' + }, + '10' => { + 'name' => 'pkey', + 'offset' => '84', + 'type' => '1037' + }, + '11' => { + 'name' => 'sl', + 'offset' => '86', + 'type' => '929' + }, + '12' => { + 'name' => 'mtu_selector', + 'offset' => '87', + 'type' => '929' + }, + '13' => { + 'name' => 'mtu', + 'offset' => '88', + 'type' => '929' + }, + '14' => { + 'name' => 'rate_selector', + 'offset' => '89', + 'type' => '929' + }, + '15' => { + 'name' => 'rate', + 'offset' => '96', + 'type' => '929' + }, + '16' => { + 'name' => 'packet_life_time_selector', + 'offset' => '97', + 'type' => '929' + }, + '17' => { + 'name' => 'packet_life_time', + 'offset' => '98', + 'type' => '929' + }, + '18' => { + 'name' => 'preference', + 'offset' => '99', + 'type' => '929' + }, + '2' => { + 'name' => 'dlid', + 'offset' => '50', + 'type' => '1037' + }, + '3' => { + 'name' => 'slid', + 'offset' => '52', + 'type' => '1037' + }, + '4' => { + 'name' => 'raw_traffic', + 'offset' => '54', + 'type' => '161' + }, + '5' => { + 'name' => 'flow_label', + 'offset' => '64', + 'type' => '1049' + }, + '6' => { + 'name' => 'hop_limit', + 'offset' => '68', + 'type' => '929' + }, + '7' => { + 'name' => 'traffic_class', + 'offset' => '69', + 'type' => '929' + }, + '8' => { + 'name' => 'reversible', + 'offset' => '72', + 'type' => '161' + }, + '9' => { + 'name' => 'numb_path', + 'offset' => '82', + 'type' => '929' + } + }, + 'Name' => 'struct ibv_sa_path_rec', + 'Size' => '64', + 'Type' => 'Struct' + }, + '405292' => { + 'Header' => undef, + 'Line' => '55', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '2955' + }, + '1' => { + 'name' => 'sgid', + 'offset' => '22', + 'type' => '2955' + }, + '10' => { + 'name' => 'traffic_class', + 'offset' => '85', + 'type' => '989' + }, + '11' => { + 'name' => 'numb_path', + 'offset' => '86', + 'type' => '989' + }, + '12' => { + 'name' => 'sl', + 'offset' => '87', + 'type' => '989' + }, + '13' => { + 'name' => 'mtu_selector', + 'offset' => '88', + 'type' => '989' + }, + '14' => { + 'name' => 'rate_selector', + 'offset' => '89', + 'type' => '989' + }, + '15' => { + 'name' => 'rate', + 'offset' => '96', + 'type' => '989' + }, + '16' => { + 'name' => 'packet_life_time_selector', + 'offset' => '97', + 'type' => '989' + }, + '17' => { + 'name' => 'packet_life_time', + 'offset' => '98', + 'type' => '989' + }, + '18' => { + 'name' => 'preference', + 'offset' => '99', + 'type' => '989' + }, + '2' => { + 'name' => 'dlid', + 'offset' => '50', + 'type' => '1037' + }, + '3' => { + 'name' => 'slid', + 'offset' => '52', + 'type' => '1037' + }, + '4' => { + 'name' => 'raw_traffic', + 'offset' => '54', + 'type' => '1013' + }, + '5' => { + 'name' => 'flow_label', + 'offset' => '64', + 'type' => '1049' + }, + '6' => { + 'name' => 'reversible', + 'offset' => '68', + 'type' => '1013' + }, + '7' => { + 'name' => 'mtu', + 'offset' => '72', + 'type' => '1013' + }, + '8' => { + 'name' => 'pkey', + 'offset' => '82', + 'type' => '1037' + }, + '9' => { + 'name' => 'hop_limit', + 'offset' => '84', + 'type' => '989' + } + }, + 'Name' => 'struct ib_user_path_rec', + 'Size' => '64', + 'Type' => 'Struct' + }, + '405752' => { + 'BaseType' => '405292', + 'Name' => 'struct ib_user_path_rec*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '405757' => { + 'BaseType' => '405033', + 'Name' => 'struct ibv_sa_path_rec*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '406087' => { + 'BaseType' => '403667', + 'Name' => 'struct ib_uverbs_qp_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '406223' => { + 'BaseType' => '2971', + 'Name' => 'struct ib_uverbs_ah_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '409076' => { + 'Header' => undef, + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => 'IBV_FORK_DISABLED', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_FORK_ENABLED', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_FORK_UNNEEDED', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_fork_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '4098' => { + 'Header' => undef, + 'Line' => '723', + 'Memb' => { + '0' => { + 'name' => 'dest', + 'offset' => '0', + 'type' => '3401' + }, + '1' => { + 'name' => 'alt_dest', + 'offset' => '50', + 'type' => '3401' + }, + '10' => { + 'name' => 'alt_pkey_index', + 'offset' => '148', + 'type' => '1001' + }, + '11' => { + 'name' => 'qp_state', + 'offset' => '150', + 'type' => '989' + }, + '12' => { + 'name' => 'cur_qp_state', + 'offset' => '151', + 'type' => '989' + }, + '13' => { + 'name' => 'path_mtu', + 'offset' => '152', + 'type' => '989' + }, + '14' => { + 'name' => 'path_mig_state', + 'offset' => '153', + 'type' => '989' + }, + '15' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '256', + 'type' => '989' + }, + '16' => { + 'name' => 'max_rd_atomic', + 'offset' => '257', + 'type' => '989' + }, + '17' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '258', + 'type' => '989' + }, + '18' => { + 'name' => 'min_rnr_timer', + 'offset' => '259', + 'type' => '989' + }, + '19' => { + 'name' => 'port_num', + 'offset' => '260', + 'type' => '989' + }, + '2' => { + 'name' => 'qp_handle', + 'offset' => '100', + 'type' => '1013' + }, + '20' => { + 'name' => 'timeout', + 'offset' => '261', + 'type' => '989' + }, + '21' => { + 'name' => 'retry_cnt', + 'offset' => '262', + 'type' => '989' + }, + '22' => { + 'name' => 'rnr_retry', + 'offset' => '263', + 'type' => '989' + }, + '23' => { + 'name' => 'alt_port_num', + 'offset' => '264', + 'type' => '989' + }, + '24' => { + 'name' => 'alt_timeout', + 'offset' => '265', + 'type' => '989' + }, + '25' => { + 'name' => 'reserved', + 'offset' => '272', + 'type' => '4489' + }, + '26' => { + 'name' => 'driver_data', + 'offset' => '274', + 'type' => '1549' + }, + '3' => { + 'name' => 'attr_mask', + 'offset' => '104', + 'type' => '1013' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '114', + 'type' => '1013' + }, + '5' => { + 'name' => 'rq_psn', + 'offset' => '118', + 'type' => '1013' + }, + '6' => { + 'name' => 'sq_psn', + 'offset' => '128', + 'type' => '1013' + }, + '7' => { + 'name' => 'dest_qp_num', + 'offset' => '132', + 'type' => '1013' + }, + '8' => { + 'name' => 'qp_access_flags', + 'offset' => '136', + 'type' => '1013' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '146', + 'type' => '1001' + } + }, + 'Name' => 'struct ib_uverbs_modify_qp', + 'Size' => '112', + 'Type' => 'Struct' + }, + '442186' => { + 'Header' => undef, + 'Line' => '698', + 'Memb' => { + '0' => { + 'name' => 'version_tclass_flow', + 'offset' => '0', + 'type' => '1049' + }, + '1' => { + 'name' => 'paylen', + 'offset' => '4', + 'type' => '1037' + }, + '2' => { + 'name' => 'next_hdr', + 'offset' => '6', + 'type' => '929' + }, + '3' => { + 'name' => 'hop_limit', + 'offset' => '7', + 'type' => '929' + }, + '4' => { + 'name' => 'sgid', + 'offset' => '8', + 'type' => '8669' + }, + '5' => { + 'name' => 'dgid', + 'offset' => '36', + 'type' => '8669' + } + }, + 'Name' => 'struct ibv_grh', + 'Size' => '40', + 'Type' => 'Struct' + }, + '442284' => { + 'Header' => undef, + 'Line' => '707', + 'Memb' => { + '0' => { + 'name' => 'IBV_RATE_MAX', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_RATE_2_5_GBPS', + 'value' => '2' + }, + '10' => { + 'name' => 'IBV_RATE_14_GBPS', + 'value' => '11' + }, + '11' => { + 'name' => 'IBV_RATE_56_GBPS', + 'value' => '12' + }, + '12' => { + 'name' => 'IBV_RATE_112_GBPS', + 'value' => '13' + }, + '13' => { + 'name' => 'IBV_RATE_168_GBPS', + 'value' => '14' + }, + '14' => { + 'name' => 'IBV_RATE_25_GBPS', + 'value' => '15' + }, + '15' => { + 'name' => 'IBV_RATE_100_GBPS', + 'value' => '16' + }, + '16' => { + 'name' => 'IBV_RATE_200_GBPS', + 'value' => '17' + }, + '17' => { + 'name' => 'IBV_RATE_300_GBPS', + 'value' => '18' + }, + '18' => { + 'name' => 'IBV_RATE_28_GBPS', + 'value' => '19' + }, + '19' => { + 'name' => 'IBV_RATE_50_GBPS', + 'value' => '20' + }, + '2' => { + 'name' => 'IBV_RATE_5_GBPS', + 'value' => '5' + }, + '20' => { + 'name' => 'IBV_RATE_400_GBPS', + 'value' => '21' + }, + '21' => { + 'name' => 'IBV_RATE_600_GBPS', + 'value' => '22' + }, + '22' => { + 'name' => 'IBV_RATE_800_GBPS', + 'value' => '23' + }, + '23' => { + 'name' => 'IBV_RATE_1200_GBPS', + 'value' => '24' + }, + '3' => { + 'name' => 'IBV_RATE_10_GBPS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_RATE_20_GBPS', + 'value' => '6' + }, + '5' => { + 'name' => 'IBV_RATE_30_GBPS', + 'value' => '4' + }, + '6' => { + 'name' => 'IBV_RATE_40_GBPS', + 'value' => '7' + }, + '7' => { + 'name' => 'IBV_RATE_60_GBPS', + 'value' => '8' + }, + '8' => { + 'name' => 'IBV_RATE_80_GBPS', + 'value' => '9' + }, + '9' => { + 'name' => 'IBV_RATE_120_GBPS', + 'value' => '10' + } + }, + 'Name' => 'enum ibv_rate', + 'Size' => '4', + 'Type' => 'Enum' + }, + '4489' => { + 'BaseType' => '989', + 'Name' => '__u8[2]', + 'Size' => '2', + 'Type' => 'Array' + }, + '4520' => { + 'Header' => undef, + 'Line' => '753', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '4098' + }, + '1' => { + 'name' => 'rate_limit', + 'offset' => '274', + 'type' => '1013' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '278', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_modify_qp', + 'Size' => '120', + 'Type' => 'Struct' + }, + '4575' => { + 'Header' => undef, + 'Line' => '759', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'response_length', + 'offset' => '4', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_modify_qp_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '457545' => { + 'BaseType' => '941', + 'Name' => 'uint16_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '458514' => { + 'BaseType' => '442186', + 'Name' => 'struct ibv_grh*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '46' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '46756' => { + 'Header' => undef, + 'Line' => '2099', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_counters_init_attr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '46784' => { + 'BaseType' => '965', + 'Name' => 'uint64_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '46789' => { + 'BaseType' => '46756', + 'Name' => 'struct ibv_counters_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '48314' => { + 'BaseType' => '23141', + 'Name' => 'struct verbs_counters*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '50263' => { + 'BaseType' => '185', + 'Header' => undef, + 'Line' => '160', + 'Name' => '__time_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '50282' => { + 'BaseType' => '185', + 'Header' => undef, + 'Line' => '197', + 'Name' => '__syscall_slong_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '50390' => { + 'BaseType' => '161', + 'Header' => undef, + 'Line' => '26', + 'Name' => '__s32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '50831' => { + 'Header' => undef, + 'Line' => '11', + 'Memb' => { + '0' => { + 'name' => 'tv_sec', + 'offset' => '0', + 'type' => '50263' + }, + '1' => { + 'name' => 'tv_nsec', + 'offset' => '8', + 'type' => '50282' + } + }, + 'Name' => 'struct timespec', + 'Size' => '16', + 'Type' => 'Struct' + }, + '51885' => { + 'Header' => undef, + 'Line' => '416', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '2' => { + 'name' => 'cqe', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'comp_channel', + 'offset' => '36', + 'type' => '50390' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '40', + 'type' => '1013' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '50', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_create_cq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '52022' => { + 'Header' => undef, + 'Line' => '431', + 'Memb' => { + '0' => { + 'name' => 'user_handle', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'cqe', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'comp_vector', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'comp_channel', + 'offset' => '22', + 'type' => '50390' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '32', + 'type' => '1013' + }, + '5' => { + 'name' => 'flags', + 'offset' => '36', + 'type' => '1013' + }, + '6' => { + 'name' => 'reserved', + 'offset' => '40', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_cq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '52132' => { + 'Header' => undef, + 'Line' => '441', + 'Memb' => { + '0' => { + 'name' => 'cq_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'cqe', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_create_cq_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '52202' => { + 'Header' => undef, + 'Line' => '447', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '52132' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'response_length', + 'offset' => '18', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_cq_resp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '52352' => { + 'Header' => undef, + 'Line' => '146', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_KEYMAT_AES_GCM', + 'value' => '0' + } + }, + 'Name' => 'enum ib_uverbs_flow_action_esp_keymat', + 'Size' => '4', + 'Type' => 'Enum' + }, + '52375' => { + 'Header' => undef, + 'Line' => '165', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_BMP', + 'value' => '1' + } + }, + 'Name' => 'enum ib_uverbs_flow_action_esp_replay', + 'Size' => '4', + 'Type' => 'Enum' + }, + '52404' => { + 'Header' => undef, + 'Line' => '191', + 'Memb' => { + '0' => { + 'name' => 'val_ptr', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'val_ptr_data_u64', + 'offset' => '0', + 'type' => '1025' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '52438' => { + 'Header' => undef, + 'Line' => '192', + 'Memb' => { + '0' => { + 'name' => 'next_ptr', + 'offset' => '0', + 'type' => '52524' + }, + '1' => { + 'name' => 'next_ptr_data_u64', + 'offset' => '0', + 'type' => '1025' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '52472' => { + 'Header' => undef, + 'Line' => '187', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '52404' + }, + '1' => { + 'name' => 'unnamed1', + 'offset' => '8', + 'type' => '52438' + }, + '2' => { + 'name' => 'len', + 'offset' => '22', + 'type' => '1001' + }, + '3' => { + 'name' => 'type', + 'offset' => '24', + 'type' => '1001' + } + }, + 'Name' => 'struct ib_uverbs_flow_action_esp_encap', + 'Size' => '24', + 'Type' => 'Struct' + }, + '52524' => { + 'BaseType' => '52472', + 'Name' => 'struct ib_uverbs_flow_action_esp_encap*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '52529' => { + 'Header' => undef, + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'spi', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'seq', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'tfc_pad', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'flags', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'hard_limit_pkts', + 'offset' => '22', + 'type' => '1025' + } + }, + 'Name' => 'struct ib_uverbs_flow_action_esp', + 'Size' => '24', + 'Type' => 'Struct' + }, + '52608' => { + 'Header' => undef, + 'Line' => '210', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_ADVISE_MR_ADVICE_PREFETCH', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_UVERBS_ADVISE_MR_ADVICE_PREFETCH_WRITE', + 'value' => '1' + }, + '2' => { + 'name' => 'IB_UVERBS_ADVISE_MR_ADVICE_PREFETCH_NO_FAULT', + 'value' => '2' + } + }, + 'Name' => 'enum ib_uverbs_advise_mr_advice', + 'Size' => '4', + 'Type' => 'Enum' + }, + '52889' => { + 'Header' => undef, + 'Line' => '160', + 'Memb' => { + '0' => { + 'name' => 'length', + 'offset' => '0', + 'type' => '53' + }, + '1' => { + 'name' => 'log_align_req', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '18', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_alloc_dm_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '52942' => { + 'Header' => undef, + 'Line' => '170', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'memcpy_to_dm', + 'offset' => '8', + 'type' => '53190' + }, + '2' => { + 'name' => 'memcpy_from_dm', + 'offset' => '22', + 'type' => '53225' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '36', + 'type' => '953' + }, + '4' => { + 'name' => 'handle', + 'offset' => '40', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_dm', + 'Size' => '32', + 'Type' => 'Struct' + }, + '53' => { + 'BaseType' => '46', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '53174' => { + 'BaseType' => '52942', + 'Name' => 'struct ibv_dm*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '53190' => { + 'Name' => 'int(*)(struct ibv_dm*, uint64_t, void const*, size_t)', + 'Param' => { + '0' => { + 'type' => '53174' + }, + '1' => { + 'type' => '965' + }, + '2' => { + 'type' => '918' + }, + '3' => { + 'type' => '53' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '53225' => { + 'Name' => 'int(*)(void*, struct ibv_dm*, uint64_t, size_t)', + 'Param' => { + '0' => { + 'type' => '82' + }, + '1' => { + 'type' => '53174' + }, + '2' => { + 'type' => '965' + }, + '3' => { + 'type' => '53' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '53780' => { + 'Header' => undef, + 'Line' => '226', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_query_device_ex_input', + 'Size' => '4', + 'Type' => 'Struct' + }, + '53807' => { + 'BaseType' => '53780', + 'Name' => 'struct ibv_query_device_ex_input const', + 'Size' => '4', + 'Type' => 'Const' + }, + '53812' => { + 'Header' => undef, + 'Line' => '241', + 'Memb' => { + '0' => { + 'name' => 'rc_odp_caps', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'uc_odp_caps', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'ud_odp_caps', + 'offset' => '8', + 'type' => '953' + } + }, + 'Size' => '12', + 'Type' => 'Struct' + }, + '53861' => { + 'Header' => undef, + 'Line' => '239', + 'Memb' => { + '0' => { + 'name' => 'general_caps', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'per_transport_caps', + 'offset' => '8', + 'type' => '53812' + } + }, + 'Name' => 'struct ibv_odp_caps', + 'Size' => '24', + 'Type' => 'Struct' + }, + '53901' => { + 'Header' => undef, + 'Line' => '253', + 'Memb' => { + '0' => { + 'name' => 'max_tso', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'supported_qpts', + 'offset' => '4', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_tso_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '53941' => { + 'Header' => undef, + 'Line' => '284', + 'Memb' => { + '0' => { + 'name' => 'supported_qpts', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'max_rwq_indirection_tables', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'max_rwq_indirection_table_size', + 'offset' => '8', + 'type' => '953' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '22', + 'type' => '965' + }, + '4' => { + 'name' => 'rx_hash_function', + 'offset' => '36', + 'type' => '929' + } + }, + 'Name' => 'struct ibv_rss_caps', + 'Size' => '32', + 'Type' => 'Struct' + }, + '54025' => { + 'Header' => undef, + 'Line' => '292', + 'Memb' => { + '0' => { + 'name' => 'qp_rate_limit_min', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'qp_rate_limit_max', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'supported_qpts', + 'offset' => '8', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_packet_pacing_caps', + 'Size' => '12', + 'Type' => 'Struct' + }, + '54081' => { + 'Header' => undef, + 'Line' => '309', + 'Memb' => { + '0' => { + 'name' => 'max_rndv_hdr_size', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'max_num_tags', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '953' + }, + '3' => { + 'name' => 'max_ops', + 'offset' => '18', + 'type' => '953' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '22', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_tm_caps', + 'Size' => '20', + 'Type' => 'Struct' + }, + '54165' => { + 'Header' => undef, + 'Line' => '322', + 'Memb' => { + '0' => { + 'name' => 'max_cq_count', + 'offset' => '0', + 'type' => '941' + }, + '1' => { + 'name' => 'max_cq_period', + 'offset' => '2', + 'type' => '941' + } + }, + 'Name' => 'struct ibv_cq_moderation_caps', + 'Size' => '4', + 'Type' => 'Struct' + }, + '54207' => { + 'Header' => undef, + 'Line' => '337', + 'Memb' => { + '0' => { + 'name' => 'fetch_add', + 'offset' => '0', + 'type' => '941' + }, + '1' => { + 'name' => 'swap', + 'offset' => '2', + 'type' => '941' + }, + '2' => { + 'name' => 'compare_swap', + 'offset' => '4', + 'type' => '941' + } + }, + 'Name' => 'struct ibv_pci_atomic_caps', + 'Size' => '6', + 'Type' => 'Struct' + }, + '54263' => { + 'Header' => undef, + 'Line' => '343', + 'Memb' => { + '0' => { + 'name' => 'orig_attr', + 'offset' => '0', + 'type' => '8996' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '562', + 'type' => '953' + }, + '10' => { + 'name' => 'raw_packet_caps', + 'offset' => '836', + 'type' => '953' + }, + '11' => { + 'name' => 'tm_caps', + 'offset' => '840', + 'type' => '54081' + }, + '12' => { + 'name' => 'cq_mod_caps', + 'offset' => '872', + 'type' => '54165' + }, + '13' => { + 'name' => 'max_dm_size', + 'offset' => '886', + 'type' => '965' + }, + '14' => { + 'name' => 'pci_atomic_caps', + 'offset' => '900', + 'type' => '54207' + }, + '15' => { + 'name' => 'xrc_odp_caps', + 'offset' => '914', + 'type' => '953' + }, + '16' => { + 'name' => 'phys_port_cnt_ex', + 'offset' => '918', + 'type' => '953' + }, + '2' => { + 'name' => 'odp_caps', + 'offset' => '576', + 'type' => '53861' + }, + '3' => { + 'name' => 'completion_timestamp_mask', + 'offset' => '612', + 'type' => '965' + }, + '4' => { + 'name' => 'hca_core_clock', + 'offset' => '626', + 'type' => '965' + }, + '5' => { + 'name' => 'device_cap_flags_ex', + 'offset' => '640', + 'type' => '965' + }, + '6' => { + 'name' => 'tso_caps', + 'offset' => '648', + 'type' => '53901' + }, + '7' => { + 'name' => 'rss_caps', + 'offset' => '662', + 'type' => '53941' + }, + '8' => { + 'name' => 'max_wq_type_rq', + 'offset' => '808', + 'type' => '953' + }, + '9' => { + 'name' => 'packet_pacing_caps', + 'offset' => '818', + 'type' => '54025' + } + }, + 'Name' => 'struct ibv_device_attr_ex', + 'Size' => '400', + 'Type' => 'Struct' + }, + '54577' => { + 'Header' => undef, + 'Line' => '371', + 'Memb' => { + '0' => { + 'name' => 'IBV_PORT_NOP', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_PORT_DOWN', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_PORT_INIT', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_PORT_ARMED', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_PORT_ACTIVE', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_PORT_ACTIVE_DEFER', + 'value' => '5' + } + }, + 'Name' => 'enum ibv_port_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '54630' => { + 'Header' => undef, + 'Line' => '424', + 'Memb' => { + '0' => { + 'name' => 'state', + 'offset' => '0', + 'type' => '54577' + }, + '1' => { + 'name' => 'max_mtu', + 'offset' => '4', + 'type' => '9546' + }, + '10' => { + 'name' => 'sm_lid', + 'offset' => '54', + 'type' => '941' + }, + '11' => { + 'name' => 'lmc', + 'offset' => '56', + 'type' => '929' + }, + '12' => { + 'name' => 'max_vl_num', + 'offset' => '57', + 'type' => '929' + }, + '13' => { + 'name' => 'sm_sl', + 'offset' => '64', + 'type' => '929' + }, + '14' => { + 'name' => 'subnet_timeout', + 'offset' => '65', + 'type' => '929' + }, + '15' => { + 'name' => 'init_type_reply', + 'offset' => '66', + 'type' => '929' + }, + '16' => { + 'name' => 'active_width', + 'offset' => '67', + 'type' => '929' + }, + '17' => { + 'name' => 'active_speed', + 'offset' => '68', + 'type' => '929' + }, + '18' => { + 'name' => 'phys_state', + 'offset' => '69', + 'type' => '929' + }, + '19' => { + 'name' => 'link_layer', + 'offset' => '70', + 'type' => '929' + }, + '2' => { + 'name' => 'active_mtu', + 'offset' => '8', + 'type' => '9546' + }, + '20' => { + 'name' => 'flags', + 'offset' => '71', + 'type' => '929' + }, + '21' => { + 'name' => 'port_cap_flags2', + 'offset' => '72', + 'type' => '941' + }, + '22' => { + 'name' => 'active_speed_ex', + 'offset' => '82', + 'type' => '953' + }, + '3' => { + 'name' => 'gid_tbl_len', + 'offset' => '18', + 'type' => '161' + }, + '4' => { + 'name' => 'port_cap_flags', + 'offset' => '22', + 'type' => '953' + }, + '5' => { + 'name' => 'max_msg_sz', + 'offset' => '32', + 'type' => '953' + }, + '6' => { + 'name' => 'bad_pkey_cntr', + 'offset' => '36', + 'type' => '953' + }, + '7' => { + 'name' => 'qkey_viol_cntr', + 'offset' => '40', + 'type' => '953' + }, + '8' => { + 'name' => 'pkey_tbl_len', + 'offset' => '50', + 'type' => '941' + }, + '9' => { + 'name' => 'lid', + 'offset' => '52', + 'type' => '941' + } + }, + 'Name' => 'struct ibv_port_attr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '54966' => { + 'Header' => undef, + 'Line' => '450', + 'Memb' => { + '0' => { + 'name' => 'IBV_EVENT_CQ_ERR', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_EVENT_QP_FATAL', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_EVENT_PORT_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_EVENT_LID_CHANGE', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_EVENT_PKEY_CHANGE', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_EVENT_SM_CHANGE', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_EVENT_SRQ_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_EVENT_SRQ_LIMIT_REACHED', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_EVENT_QP_LAST_WQE_REACHED', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_EVENT_CLIENT_REREGISTER', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_EVENT_GID_CHANGE', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_EVENT_WQ_FATAL', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_EVENT_QP_REQ_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_EVENT_QP_ACCESS_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_EVENT_COMM_EST', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_EVENT_SQ_DRAINED', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_EVENT_PATH_MIG', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_EVENT_PATH_MIG_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_EVENT_DEVICE_FATAL', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_EVENT_PORT_ACTIVE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_event_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '55103' => { + 'Header' => undef, + 'Line' => '474', + 'Memb' => { + '0' => { + 'name' => 'cq', + 'offset' => '0', + 'type' => '9734' + }, + '1' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '9935' + }, + '2' => { + 'name' => 'srq', + 'offset' => '0', + 'type' => '10052' + }, + '3' => { + 'name' => 'wq', + 'offset' => '0', + 'type' => '10252' + }, + '4' => { + 'name' => 'port_num', + 'offset' => '0', + 'type' => '161' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '5543' => { + 'Header' => undef, + 'Line' => '891', + 'Memb' => { + '0' => { + 'name' => 'ah_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '4', + 'type' => '1663' + } + }, + 'Name' => 'struct ib_uverbs_create_ah_resp', + 'Size' => '4', + 'Type' => 'Struct' + }, + '55829' => { + 'Header' => undef, + 'Line' => '473', + 'Memb' => { + '0' => { + 'name' => 'element', + 'offset' => '0', + 'type' => '55103' + }, + '1' => { + 'name' => 'event_type', + 'offset' => '8', + 'type' => '54966' + } + }, + 'Name' => 'struct ibv_async_event', + 'Size' => '16', + 'Type' => 'Struct' + }, + '56709' => { + 'Header' => undef, + 'Line' => '636', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_td_init_attr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '56737' => { + 'Header' => undef, + 'Line' => '640', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + } + }, + 'Name' => 'struct ibv_td', + 'Size' => '8', + 'Type' => 'Struct' + }, + '57216' => { + 'Header' => undef, + 'Line' => '782', + 'Memb' => { + '0' => { + 'name' => 'srq_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '11710' + } + }, + 'Name' => 'struct ibv_srq_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '57258' => { + 'Header' => undef, + 'Line' => '787', + 'Memb' => { + '0' => { + 'name' => 'IBV_SRQT_BASIC', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_SRQT_XRC', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_SRQT_TM', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_srq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '57293' => { + 'Header' => undef, + 'Line' => '802', + 'Memb' => { + '0' => { + 'name' => 'max_num_tags', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'max_ops', + 'offset' => '4', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_tm_cap', + 'Size' => '8', + 'Type' => 'Struct' + }, + '57335' => { + 'Header' => undef, + 'Line' => '807', + 'Memb' => { + '0' => { + 'name' => 'srq_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '11710' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '32', + 'type' => '953' + }, + '3' => { + 'name' => 'srq_type', + 'offset' => '36', + 'type' => '57258' + }, + '4' => { + 'name' => 'pd', + 'offset' => '50', + 'type' => '11395' + }, + '5' => { + 'name' => 'xrcd', + 'offset' => '64', + 'type' => '11767' + }, + '6' => { + 'name' => 'cq', + 'offset' => '72', + 'type' => '9734' + }, + '7' => { + 'name' => 'tm_cap', + 'offset' => '86', + 'type' => '57293' + } + }, + 'Name' => 'struct ibv_srq_init_attr_ex', + 'Size' => '64', + 'Type' => 'Struct' + }, + '57487' => { + 'Header' => undef, + 'Line' => '836', + 'Memb' => { + '0' => { + 'name' => 'wq_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'wq_type', + 'offset' => '8', + 'type' => '11772' + }, + '2' => { + 'name' => 'max_wr', + 'offset' => '18', + 'type' => '953' + }, + '3' => { + 'name' => 'max_sge', + 'offset' => '22', + 'type' => '953' + }, + '4' => { + 'name' => 'pd', + 'offset' => '36', + 'type' => '11395' + }, + '5' => { + 'name' => 'cq', + 'offset' => '50', + 'type' => '9734' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '953' + }, + '7' => { + 'name' => 'create_flags', + 'offset' => '68', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_wq_init_attr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '58122' => { + 'Header' => undef, + 'Line' => '962', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '929' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '929' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '58192' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '22', + 'type' => '965' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '58192' => { + 'BaseType' => '929', + 'Name' => 'uint8_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '58197' => { + 'Header' => undef, + 'Line' => '971', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '9734' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '128', + 'type' => '953' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '132', + 'type' => '941' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '136', + 'type' => '12422' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '150', + 'type' => '58122' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '288', + 'type' => '953' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '296', + 'type' => '965' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '9734' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '10052' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '12224' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '12165' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '161' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '96', + 'type' => '953' + }, + '8' => { + 'name' => 'pd', + 'offset' => '100', + 'type' => '11395' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '114', + 'type' => '11767' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '59001' => { + 'Header' => undef, + 'Line' => '1095', + 'Memb' => { + '0' => { + 'name' => 'rate_limit', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'max_burst_sz', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'typical_pkt_sz', + 'offset' => '8', + 'type' => '941' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '18', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_qp_rate_limit_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '59928' => { + 'Header' => undef, + 'Line' => '1207', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_TAG_ADD', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_TAG_DEL', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WR_TAG_SYNC', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_ops_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '59963' => { + 'Header' => undef, + 'Line' => '1226', + 'Memb' => { + '0' => { + 'name' => 'recv_wr_id', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'sg_list', + 'offset' => '8', + 'type' => '14062' + }, + '2' => { + 'name' => 'num_sge', + 'offset' => '22', + 'type' => '161' + }, + '3' => { + 'name' => 'tag', + 'offset' => '36', + 'type' => '965' + }, + '4' => { + 'name' => 'mask', + 'offset' => '50', + 'type' => '965' + } + }, + 'Size' => '40', + 'Type' => 'Struct' + }, + '60043' => { + 'Header' => undef, + 'Line' => '1223', + 'Memb' => { + '0' => { + 'name' => 'unexpected_cnt', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'handle', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'add', + 'offset' => '8', + 'type' => '59963' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '60095' => { + 'Header' => undef, + 'Line' => '1218', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '60178' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '22', + 'type' => '59928' + }, + '3' => { + 'name' => 'flags', + 'offset' => '32', + 'type' => '161' + }, + '4' => { + 'name' => 'tm', + 'offset' => '36', + 'type' => '60043' + } + }, + 'Name' => 'struct ibv_ops_wr', + 'Size' => '72', + 'Type' => 'Struct' + }, + '60178' => { + 'BaseType' => '60095', + 'Name' => 'struct ibv_ops_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '60274' => { + 'Header' => undef, + 'Line' => '1486', + 'Memb' => { + '0' => { + 'name' => 'vendor_id', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'options', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_ece', + 'Size' => '12', + 'Type' => 'Struct' + }, + '60390' => { + 'Header' => undef, + 'Line' => '1520', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_poll_cq_attr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '60418' => { + 'Header' => undef, + 'Line' => '1524', + 'Memb' => { + '0' => { + 'name' => 'tag', + 'offset' => '0', + 'type' => '965' + }, + '1' => { + 'name' => 'priv', + 'offset' => '8', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_wc_tm_info', + 'Size' => '16', + 'Type' => 'Struct' + }, + '60460' => { + 'Header' => undef, + 'Line' => '1529', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '15165' + }, + '10' => { + 'name' => 'status', + 'offset' => '306', + 'type' => '10257' + }, + '11' => { + 'name' => 'wr_id', + 'offset' => '310', + 'type' => '965' + }, + '12' => { + 'name' => 'start_poll', + 'offset' => '324', + 'type' => '60929' + }, + '13' => { + 'name' => 'next_poll', + 'offset' => '338', + 'type' => '60949' + }, + '14' => { + 'name' => 'end_poll', + 'offset' => '352', + 'type' => '60965' + }, + '15' => { + 'name' => 'read_opcode', + 'offset' => '360', + 'type' => '60985' + }, + '16' => { + 'name' => 'read_vendor_err', + 'offset' => '374', + 'type' => '61005' + }, + '17' => { + 'name' => 'read_byte_len', + 'offset' => '388', + 'type' => '61005' + }, + '18' => { + 'name' => 'read_imm_data', + 'offset' => '402', + 'type' => '61025' + }, + '19' => { + 'name' => 'read_qp_num', + 'offset' => '512', + 'type' => '61005' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '82' + }, + '20' => { + 'name' => 'read_src_qp', + 'offset' => '520', + 'type' => '61005' + }, + '21' => { + 'name' => 'read_wc_flags', + 'offset' => '534', + 'type' => '61045' + }, + '22' => { + 'name' => 'read_slid', + 'offset' => '548', + 'type' => '61005' + }, + '23' => { + 'name' => 'read_sl', + 'offset' => '562', + 'type' => '61065' + }, + '24' => { + 'name' => 'read_dlid_path_bits', + 'offset' => '576', + 'type' => '61065' + }, + '25' => { + 'name' => 'read_completion_ts', + 'offset' => '584', + 'type' => '61085' + }, + '26' => { + 'name' => 'read_cvlan', + 'offset' => '598', + 'type' => '61105' + }, + '27' => { + 'name' => 'read_flow_tag', + 'offset' => '612', + 'type' => '61005' + }, + '28' => { + 'name' => 'read_tm_info', + 'offset' => '626', + 'type' => '61131' + }, + '29' => { + 'name' => 'read_completion_wallclock_ns', + 'offset' => '640', + 'type' => '61085' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '953' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '161' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '51311' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '51385' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '953' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '953' + }, + '9' => { + 'name' => 'comp_mask', + 'offset' => '296', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_cq_ex', + 'Size' => '288', + 'Type' => 'Struct' + }, + '60919' => { + 'BaseType' => '60460', + 'Name' => 'struct ibv_cq_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '60924' => { + 'BaseType' => '60390', + 'Name' => 'struct ibv_poll_cq_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '60929' => { + 'Name' => 'int(*)(struct ibv_cq_ex*, struct ibv_poll_cq_attr*)', + 'Param' => { + '0' => { + 'type' => '60919' + }, + '1' => { + 'type' => '60924' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '60949' => { + 'Name' => 'int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '60965' => { + 'Name' => 'void(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '60985' => { + 'Name' => 'enum ibv_wc_opcode(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '10418', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '61005' => { + 'Name' => 'uint32_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '953', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '61025' => { + 'Name' => '__be32(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '1049', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '61045' => { + 'Name' => 'unsigned int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '61065' => { + 'Name' => 'uint8_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '929', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '61085' => { + 'Name' => 'uint64_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '965', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '61105' => { + 'Name' => 'uint16_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '60919' + } + }, + 'Return' => '941', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '61126' => { + 'BaseType' => '60418', + 'Name' => 'struct ibv_wc_tm_info*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '61131' => { + 'Name' => 'void(*)(struct ibv_cq_ex*, struct ibv_wc_tm_info*)', + 'Param' => { + '0' => { + 'type' => '60919' + }, + '1' => { + 'type' => '61126' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '61490' => { + 'Header' => undef, + 'Line' => '1946', + 'Memb' => { + '0' => { + 'name' => 'esp_attr', + 'offset' => '0', + 'type' => '61644' + }, + '1' => { + 'name' => 'keymat_proto', + 'offset' => '8', + 'type' => '52352' + }, + '2' => { + 'name' => 'keymat_len', + 'offset' => '18', + 'type' => '941' + }, + '3' => { + 'name' => 'keymat_ptr', + 'offset' => '22', + 'type' => '82' + }, + '4' => { + 'name' => 'replay_proto', + 'offset' => '36', + 'type' => '52375' + }, + '5' => { + 'name' => 'replay_len', + 'offset' => '40', + 'type' => '941' + }, + '6' => { + 'name' => 'replay_ptr', + 'offset' => '50', + 'type' => '82' + }, + '7' => { + 'name' => 'esp_encap', + 'offset' => '64', + 'type' => '52524' + }, + '8' => { + 'name' => 'comp_mask', + 'offset' => '72', + 'type' => '953' + }, + '9' => { + 'name' => 'esn', + 'offset' => '82', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_flow_action_esp_attr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '61644' => { + 'BaseType' => '52529', + 'Name' => 'struct ib_uverbs_flow_action_esp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '62703' => { + 'Header' => undef, + 'Line' => '2055', + 'Memb' => { + '0' => { + 'name' => 'cqe', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'cq_context', + 'offset' => '8', + 'type' => '82' + }, + '2' => { + 'name' => 'channel', + 'offset' => '22', + 'type' => '15165' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '36', + 'type' => '953' + }, + '4' => { + 'name' => 'wc_flags', + 'offset' => '50', + 'type' => '965' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '953' + }, + '6' => { + 'name' => 'flags', + 'offset' => '68', + 'type' => '953' + }, + '7' => { + 'name' => 'parent_domain', + 'offset' => '72', + 'type' => '11395' + } + }, + 'Name' => 'struct ibv_cq_init_attr_ex', + 'Size' => '56', + 'Type' => 'Struct' + }, + '62829' => { + 'BaseType' => '62703', + 'Name' => 'struct ibv_cq_init_attr_ex const', + 'Size' => '56', + 'Type' => 'Const' + }, + '62834' => { + 'Header' => undef, + 'Line' => '2088', + 'Memb' => { + '0' => { + 'name' => 'pd', + 'offset' => '0', + 'type' => '11395' + }, + '1' => { + 'name' => 'td', + 'offset' => '8', + 'type' => '62930' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '953' + }, + '3' => { + 'name' => 'alloc', + 'offset' => '36', + 'type' => '62970' + }, + '4' => { + 'name' => 'free', + 'offset' => '50', + 'type' => '63001' + }, + '5' => { + 'name' => 'pd_context', + 'offset' => '64', + 'type' => '82' + } + }, + 'Name' => 'struct ibv_parent_domain_init_attr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '62930' => { + 'BaseType' => '56737', + 'Name' => 'struct ibv_td*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '62970' => { + 'Name' => 'void*(*)(struct ibv_pd*, void*, size_t, size_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '82' + }, + '2' => { + 'type' => '53' + }, + '3' => { + 'type' => '53' + }, + '4' => { + 'type' => '965' + } + }, + 'Return' => '82', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '63001' => { + 'Name' => 'void(*)(struct ibv_pd*, void*, void*, uint64_t)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '82' + }, + '2' => { + 'type' => '82' + }, + '3' => { + 'type' => '965' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '63034' => { + 'Header' => undef, + 'Line' => '2107', + 'Memb' => { + '0' => { + 'name' => 'IBV_COUNTER_PACKETS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_COUNTER_BYTES', + 'value' => '1' + } + }, + 'Name' => 'enum ibv_counter_description', + 'Size' => '4', + 'Type' => 'Enum' + }, + '63063' => { + 'Header' => undef, + 'Line' => '2112', + 'Memb' => { + '0' => { + 'name' => 'counter_desc', + 'offset' => '0', + 'type' => '63034' + }, + '1' => { + 'name' => 'index', + 'offset' => '4', + 'type' => '953' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_counter_attach_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '63119' => { + 'Header' => undef, + 'Line' => '2127', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '953' + }, + '1' => { + 'name' => 'raw_clock', + 'offset' => '8', + 'type' => '50831' + } + }, + 'Name' => 'struct ibv_values_ex', + 'Size' => '24', + 'Type' => 'Struct' + }, + '63161' => { + 'Header' => undef, + 'Line' => '2132', + 'Memb' => { + '0' => { + 'name' => 'query_port', + 'offset' => '0', + 'type' => '63793' + }, + '1' => { + 'name' => 'advise_mr', + 'offset' => '8', + 'type' => '63833' + }, + '10' => { + 'name' => 'modify_flow_action_esp', + 'offset' => '128', + 'type' => '64108' + }, + '11' => { + 'name' => 'destroy_flow_action', + 'offset' => '136', + 'type' => '64128' + }, + '12' => { + 'name' => 'create_flow_action_esp', + 'offset' => '150', + 'type' => '64153' + }, + '13' => { + 'name' => 'modify_qp_rate_limit', + 'offset' => '260', + 'type' => '64183' + }, + '14' => { + 'name' => 'alloc_parent_domain', + 'offset' => '274', + 'type' => '64213' + }, + '15' => { + 'name' => 'dealloc_td', + 'offset' => '288', + 'type' => '64233' + }, + '16' => { + 'name' => 'alloc_td', + 'offset' => '296', + 'type' => '64263' + }, + '17' => { + 'name' => 'modify_cq', + 'offset' => '310', + 'type' => '64293' + }, + '18' => { + 'name' => 'post_srq_ops', + 'offset' => '324', + 'type' => '64328' + }, + '19' => { + 'name' => 'destroy_rwq_ind_table', + 'offset' => '338', + 'type' => '64348' + }, + '2' => { + 'name' => 'alloc_null_mr', + 'offset' => '22', + 'type' => '63853' + }, + '20' => { + 'name' => 'create_rwq_ind_table', + 'offset' => '352', + 'type' => '64378' + }, + '21' => { + 'name' => 'destroy_wq', + 'offset' => '360', + 'type' => '64398' + }, + '22' => { + 'name' => 'modify_wq', + 'offset' => '374', + 'type' => '64428' + }, + '23' => { + 'name' => 'create_wq', + 'offset' => '388', + 'type' => '64458' + }, + '24' => { + 'name' => 'query_rt_values', + 'offset' => '402', + 'type' => '64488' + }, + '25' => { + 'name' => 'create_cq_ex', + 'offset' => '512', + 'type' => '64518' + }, + '26' => { + 'name' => 'priv', + 'offset' => '520', + 'type' => '64603' + }, + '27' => { + 'name' => 'query_device_ex', + 'offset' => '534', + 'type' => '64648' + }, + '28' => { + 'name' => 'ibv_destroy_flow', + 'offset' => '548', + 'type' => '64668' + }, + '29' => { + 'name' => 'ABI_placeholder2', + 'offset' => '562', + 'type' => '64674' + }, + '3' => { + 'name' => 'read_counters', + 'offset' => '36', + 'type' => '63893' + }, + '30' => { + 'name' => 'ibv_create_flow', + 'offset' => '576', + 'type' => '64704' + }, + '31' => { + 'name' => 'ABI_placeholder1', + 'offset' => '584', + 'type' => '64674' + }, + '32' => { + 'name' => 'open_qp', + 'offset' => '598', + 'type' => '64734' + }, + '33' => { + 'name' => 'create_qp_ex', + 'offset' => '612', + 'type' => '64764' + }, + '34' => { + 'name' => 'get_srq_num', + 'offset' => '626', + 'type' => '64794' + }, + '35' => { + 'name' => 'create_srq_ex', + 'offset' => '640', + 'type' => '64824' + }, + '36' => { + 'name' => 'open_xrcd', + 'offset' => '648', + 'type' => '64854' + }, + '37' => { + 'name' => 'close_xrcd', + 'offset' => '662', + 'type' => '64874' + }, + '38' => { + 'name' => '_ABI_placeholder3', + 'offset' => '772', + 'type' => '965' + }, + '39' => { + 'name' => 'sz', + 'offset' => '786', + 'type' => '53' + }, + '4' => { + 'name' => 'attach_counters_point_flow', + 'offset' => '50', + 'type' => '63933' + }, + '40' => { + 'name' => 'context', + 'offset' => '800', + 'type' => '8879' + }, + '5' => { + 'name' => 'create_counters', + 'offset' => '64', + 'type' => '63963' + }, + '6' => { + 'name' => 'destroy_counters', + 'offset' => '72', + 'type' => '63983' + }, + '7' => { + 'name' => 'reg_dm_mr', + 'offset' => '86', + 'type' => '64023' + }, + '8' => { + 'name' => 'alloc_dm', + 'offset' => '100', + 'type' => '64053' + }, + '9' => { + 'name' => 'free_dm', + 'offset' => '114', + 'type' => '64073' + } + }, + 'Name' => 'struct verbs_context', + 'Size' => '648', + 'Type' => 'Struct' + }, + '63788' => { + 'BaseType' => '54630', + 'Name' => 'struct ibv_port_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '63793' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct ibv_port_attr*, size_t)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '929' + }, + '2' => { + 'type' => '63788' + }, + '3' => { + 'type' => '53' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '63833' => { + 'Name' => 'int(*)(struct ibv_pd*, enum ib_uverbs_advise_mr_advice, uint32_t, struct ibv_sge*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '52608' + }, + '2' => { + 'type' => '953' + }, + '3' => { + 'type' => '14062' + }, + '4' => { + 'type' => '953' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '63853' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*)', + 'Param' => { + '0' => { + 'type' => '11395' + } + }, + 'Return' => '11186', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '63893' => { + 'Name' => 'int(*)(struct ibv_counters*, uint64_t*, uint32_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '16888' + }, + '1' => { + 'type' => '46784' + }, + '2' => { + 'type' => '953' + }, + '3' => { + 'type' => '953' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '63923' => { + 'BaseType' => '63063', + 'Name' => 'struct ibv_counter_attach_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '63933' => { + 'Name' => 'int(*)(struct ibv_counters*, struct ibv_counter_attach_attr*, struct ibv_flow*)', + 'Param' => { + '0' => { + 'type' => '16888' + }, + '1' => { + 'type' => '63923' + }, + '2' => { + 'type' => '18335' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '63963' => { + 'Name' => 'struct ibv_counters*(*)(struct ibv_context*, struct ibv_counters_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '46789' + } + }, + 'Return' => '16888', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '63983' => { + 'Name' => 'int(*)(struct ibv_counters*)', + 'Param' => { + '0' => { + 'type' => '16888' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64023' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, struct ibv_dm*, uint64_t, size_t, unsigned int)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '53174' + }, + '2' => { + 'type' => '965' + }, + '3' => { + 'type' => '53' + }, + '4' => { + 'type' => '70' + } + }, + 'Return' => '11186', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64048' => { + 'BaseType' => '52889', + 'Name' => 'struct ibv_alloc_dm_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64053' => { + 'Name' => 'struct ibv_dm*(*)(struct ibv_context*, struct ibv_alloc_dm_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64048' + } + }, + 'Return' => '53174', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64073' => { + 'Name' => 'int(*)(struct ibv_dm*)', + 'Param' => { + '0' => { + 'type' => '53174' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64098' => { + 'BaseType' => '16763', + 'Name' => 'struct ibv_flow_action*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64103' => { + 'BaseType' => '61490', + 'Name' => 'struct ibv_flow_action_esp_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64108' => { + 'Name' => 'int(*)(struct ibv_flow_action*, struct ibv_flow_action_esp_attr*)', + 'Param' => { + '0' => { + 'type' => '64098' + }, + '1' => { + 'type' => '64103' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64128' => { + 'Name' => 'int(*)(struct ibv_flow_action*)', + 'Param' => { + '0' => { + 'type' => '64098' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64153' => { + 'Name' => 'struct ibv_flow_action*(*)(struct ibv_context*, struct ibv_flow_action_esp_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64103' + } + }, + 'Return' => '64098', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64178' => { + 'BaseType' => '59001', + 'Name' => 'struct ibv_qp_rate_limit_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64183' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_rate_limit_attr*)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '64178' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64208' => { + 'BaseType' => '62834', + 'Name' => 'struct ibv_parent_domain_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64213' => { + 'Name' => 'struct ibv_pd*(*)(struct ibv_context*, struct ibv_parent_domain_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64208' + } + }, + 'Return' => '11395', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64233' => { + 'Name' => 'int(*)(struct ibv_td*)', + 'Param' => { + '0' => { + 'type' => '62930' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64258' => { + 'BaseType' => '56709', + 'Name' => 'struct ibv_td_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64263' => { + 'Name' => 'struct ibv_td*(*)(struct ibv_context*, struct ibv_td_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64258' + } + }, + 'Return' => '62930', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64293' => { + 'Name' => 'int(*)(struct ibv_cq*, struct ibv_modify_cq_attr*)', + 'Param' => { + '0' => { + 'type' => '9734' + }, + '1' => { + 'type' => '18340' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64323' => { + 'BaseType' => '60178', + 'Name' => 'struct ibv_ops_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64328' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_ops_wr*, struct ibv_ops_wr**)', + 'Param' => { + '0' => { + 'type' => '10052' + }, + '1' => { + 'type' => '60178' + }, + '2' => { + 'type' => '64323' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64348' => { + 'Name' => 'int(*)(struct ibv_rwq_ind_table*)', + 'Param' => { + '0' => { + 'type' => '12422' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64378' => { + 'Name' => 'struct ibv_rwq_ind_table*(*)(struct ibv_context*, struct ibv_rwq_ind_table_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '18345' + } + }, + 'Return' => '12422', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64398' => { + 'Name' => 'int(*)(struct ibv_wq*)', + 'Param' => { + '0' => { + 'type' => '10252' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64428' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_wq_attr*)', + 'Param' => { + '0' => { + 'type' => '10252' + }, + '1' => { + 'type' => '18350' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64453' => { + 'BaseType' => '57487', + 'Name' => 'struct ibv_wq_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64458' => { + 'Name' => 'struct ibv_wq*(*)(struct ibv_context*, struct ibv_wq_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64453' + } + }, + 'Return' => '10252', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64483' => { + 'BaseType' => '63119', + 'Name' => 'struct ibv_values_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64488' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_values_ex*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64483' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64513' => { + 'BaseType' => '62703', + 'Name' => 'struct ibv_cq_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64518' => { + 'Name' => 'struct ibv_cq_ex*(*)(struct ibv_context*, struct ibv_cq_init_attr_ex*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64513' + } + }, + 'Return' => '60919', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64523' => { + 'Header' => undef, + 'Line' => '72', + 'Memb' => { + '0' => { + 'name' => 'unsupported_ioctls', + 'offset' => '0', + 'type' => '68055' + }, + '1' => { + 'name' => 'driver_id', + 'offset' => '22', + 'type' => '953' + }, + '2' => { + 'name' => 'use_ioctl_write', + 'offset' => '32', + 'type' => '18370' + }, + '3' => { + 'name' => 'ops', + 'offset' => '36', + 'type' => '65816' + }, + '4' => { + 'name' => 'imported', + 'offset' => '1586', + 'type' => '18370' + } + }, + 'Name' => 'struct verbs_ex_private', + 'Size' => '640', + 'Type' => 'Struct' + }, + '64603' => { + 'BaseType' => '64523', + 'Name' => 'struct verbs_ex_private*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64638' => { + 'BaseType' => '53807', + 'Name' => 'struct ibv_query_device_ex_input const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64643' => { + 'BaseType' => '54263', + 'Name' => 'struct ibv_device_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64648' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_query_device_ex_input const*, struct ibv_device_attr_ex*, size_t)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64638' + }, + '2' => { + 'type' => '64643' + }, + '3' => { + 'type' => '53' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64668' => { + 'Name' => 'int(*)(struct ibv_flow*)', + 'Param' => { + '0' => { + 'type' => '18335' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64674' => { + 'Name' => 'void(*)()', + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64704' => { + 'Name' => 'struct ibv_flow*(*)(struct ibv_qp*, struct ibv_flow_attr*)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '18355' + } + }, + 'Return' => '18335', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64734' => { + 'Name' => 'struct ibv_qp*(*)(struct ibv_context*, struct ibv_qp_open_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '18360' + } + }, + 'Return' => '9935', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64759' => { + 'BaseType' => '58197', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64764' => { + 'Name' => 'struct ibv_qp*(*)(struct ibv_context*, struct ibv_qp_init_attr_ex*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64759' + } + }, + 'Return' => '9935', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64789' => { + 'BaseType' => '953', + 'Name' => 'uint32_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64794' => { + 'Name' => 'int(*)(struct ibv_srq*, uint32_t*)', + 'Param' => { + '0' => { + 'type' => '10052' + }, + '1' => { + 'type' => '64789' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64819' => { + 'BaseType' => '57335', + 'Name' => 'struct ibv_srq_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '64824' => { + 'Name' => 'struct ibv_srq*(*)(struct ibv_context*, struct ibv_srq_init_attr_ex*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '64819' + } + }, + 'Return' => '10052', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64854' => { + 'Name' => 'struct ibv_xrcd*(*)(struct ibv_context*, struct ibv_xrcd_init_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '18365' + } + }, + 'Return' => '11767', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '64874' => { + 'Name' => 'int(*)(struct ibv_xrcd*)', + 'Param' => { + '0' => { + 'type' => '11767' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '65138' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'user_handle', + 'offset' => '8', + 'type' => '1025' + }, + '2' => { + 'name' => 'cqe', + 'offset' => '22', + 'type' => '1013' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '32', + 'type' => '1013' + }, + '4' => { + 'name' => 'comp_channel', + 'offset' => '36', + 'type' => '50390' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '40', + 'type' => '1013' + }, + '6' => { + 'name' => 'driver_data', + 'offset' => '50', + 'type' => '1549' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '65237' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '65138' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '51885' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '65264' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '65237' + } + }, + 'Name' => 'struct ibv_create_cq', + 'Size' => '40', + 'Type' => 'Struct' + }, + '65456' => { + 'Header' => undef, + 'Line' => '211', + 'Memb' => { + '0' => { + 'name' => 'user_handle', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'cqe', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'comp_vector', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'comp_channel', + 'offset' => '22', + 'type' => '50390' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '32', + 'type' => '1013' + }, + '5' => { + 'name' => 'flags', + 'offset' => '36', + 'type' => '1013' + }, + '6' => { + 'name' => 'reserved', + 'offset' => '40', + 'type' => '1013' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '65555' => { + 'Header' => undef, + 'Line' => '211', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '65456' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '52022' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '65582' => { + 'Header' => undef, + 'Line' => '211', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '18410' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '65555' + } + }, + 'Name' => 'struct ibv_create_cq_ex', + 'Size' => '56', + 'Type' => 'Struct' + }, + '65762' => { + 'Header' => undef, + 'Line' => '170', + 'Memb' => { + '0' => { + 'name' => 'cq', + 'offset' => '0', + 'type' => '9593' + }, + '1' => { + 'name' => 'cq_ex', + 'offset' => '0', + 'type' => '60460' + } + }, + 'Size' => '288', + 'Type' => 'Union' + }, + '65796' => { + 'Header' => undef, + 'Line' => '169', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '65762' + } + }, + 'Name' => 'struct verbs_cq', + 'Size' => '288', + 'Type' => 'Struct' + }, + '65816' => { + 'Header' => undef, + 'Line' => '311', + 'Memb' => { + '0' => { + 'name' => 'advise_mr', + 'offset' => '0', + 'type' => '63833' + }, + '1' => { + 'name' => 'alloc_dm', + 'offset' => '8', + 'type' => '64053' + }, + '10' => { + 'name' => 'bind_mw', + 'offset' => '128', + 'type' => '18155' + }, + '11' => { + 'name' => 'close_xrcd', + 'offset' => '136', + 'type' => '64874' + }, + '12' => { + 'name' => 'cq_event', + 'offset' => '150', + 'type' => '67031' + }, + '13' => { + 'name' => 'create_ah', + 'offset' => '260', + 'type' => '67061' + }, + '14' => { + 'name' => 'create_counters', + 'offset' => '274', + 'type' => '63963' + }, + '15' => { + 'name' => 'create_cq', + 'offset' => '288', + 'type' => '67096' + }, + '16' => { + 'name' => 'create_cq_ex', + 'offset' => '296', + 'type' => '64518' + }, + '17' => { + 'name' => 'create_flow', + 'offset' => '310', + 'type' => '64704' + }, + '18' => { + 'name' => 'create_flow_action_esp', + 'offset' => '324', + 'type' => '64153' + }, + '19' => { + 'name' => 'create_qp', + 'offset' => '338', + 'type' => '67126' + }, + '2' => { + 'name' => 'alloc_mw', + 'offset' => '22', + 'type' => '18120' + }, + '20' => { + 'name' => 'create_qp_ex', + 'offset' => '352', + 'type' => '64764' + }, + '21' => { + 'name' => 'create_rwq_ind_table', + 'offset' => '360', + 'type' => '64378' + }, + '22' => { + 'name' => 'create_srq', + 'offset' => '374', + 'type' => '67156' + }, + '23' => { + 'name' => 'create_srq_ex', + 'offset' => '388', + 'type' => '64824' + }, + '24' => { + 'name' => 'create_wq', + 'offset' => '402', + 'type' => '64458' + }, + '25' => { + 'name' => 'dealloc_mw', + 'offset' => '512', + 'type' => '18175' + }, + '26' => { + 'name' => 'dealloc_pd', + 'offset' => '520', + 'type' => '67176' + }, + '27' => { + 'name' => 'dealloc_td', + 'offset' => '534', + 'type' => '64233' + }, + '28' => { + 'name' => 'dereg_mr', + 'offset' => '548', + 'type' => '67201' + }, + '29' => { + 'name' => 'destroy_ah', + 'offset' => '562', + 'type' => '67221' + }, + '3' => { + 'name' => 'alloc_null_mr', + 'offset' => '36', + 'type' => '63853' + }, + '30' => { + 'name' => 'destroy_counters', + 'offset' => '576', + 'type' => '63983' + }, + '31' => { + 'name' => 'destroy_cq', + 'offset' => '584', + 'type' => '67241' + }, + '32' => { + 'name' => 'destroy_flow', + 'offset' => '598', + 'type' => '64668' + }, + '33' => { + 'name' => 'destroy_flow_action', + 'offset' => '612', + 'type' => '64128' + }, + '34' => { + 'name' => 'destroy_qp', + 'offset' => '626', + 'type' => '67261' + }, + '35' => { + 'name' => 'destroy_rwq_ind_table', + 'offset' => '640', + 'type' => '64348' + }, + '36' => { + 'name' => 'destroy_srq', + 'offset' => '648', + 'type' => '67281' + }, + '37' => { + 'name' => 'destroy_wq', + 'offset' => '662', + 'type' => '64398' + }, + '38' => { + 'name' => 'detach_mcast', + 'offset' => '772', + 'type' => '67015' + }, + '39' => { + 'name' => 'free_context', + 'offset' => '786', + 'type' => '17511' + }, + '4' => { + 'name' => 'alloc_parent_domain', + 'offset' => '50', + 'type' => '64213' + }, + '40' => { + 'name' => 'free_dm', + 'offset' => '800', + 'type' => '64073' + }, + '41' => { + 'name' => 'get_srq_num', + 'offset' => '808', + 'type' => '64794' + }, + '42' => { + 'name' => 'import_dm', + 'offset' => '822', + 'type' => '67306' + }, + '43' => { + 'name' => 'import_mr', + 'offset' => '836', + 'type' => '67331' + }, + '44' => { + 'name' => 'import_pd', + 'offset' => '850', + 'type' => '67356' + }, + '45' => { + 'name' => 'modify_cq', + 'offset' => '864', + 'type' => '64293' + }, + '46' => { + 'name' => 'modify_flow_action_esp', + 'offset' => '872', + 'type' => '64108' + }, + '47' => { + 'name' => 'modify_qp', + 'offset' => '886', + 'type' => '67391' + }, + '48' => { + 'name' => 'modify_qp_rate_limit', + 'offset' => '900', + 'type' => '64183' + }, + '49' => { + 'name' => 'modify_srq', + 'offset' => '914', + 'type' => '67426' + }, + '5' => { + 'name' => 'alloc_pd', + 'offset' => '64', + 'type' => '66954' + }, + '50' => { + 'name' => 'modify_wq', + 'offset' => '1024', + 'type' => '64428' + }, + '51' => { + 'name' => 'open_qp', + 'offset' => '1032', + 'type' => '64734' + }, + '52' => { + 'name' => 'open_xrcd', + 'offset' => '1046', + 'type' => '64854' + }, + '53' => { + 'name' => 'poll_cq', + 'offset' => '1060', + 'type' => '18210' + }, + '54' => { + 'name' => 'post_recv', + 'offset' => '1074', + 'type' => '18330' + }, + '55' => { + 'name' => 'post_send', + 'offset' => '1088', + 'type' => '18300' + }, + '56' => { + 'name' => 'post_srq_ops', + 'offset' => '1096', + 'type' => '64328' + }, + '57' => { + 'name' => 'post_srq_recv', + 'offset' => '1110', + 'type' => '18265' + }, + '58' => { + 'name' => 'query_device_ex', + 'offset' => '1124', + 'type' => '64648' + }, + '59' => { + 'name' => 'query_ece', + 'offset' => '1138', + 'type' => '67456' + }, + '6' => { + 'name' => 'alloc_td', + 'offset' => '72', + 'type' => '64263' + }, + '60' => { + 'name' => 'query_port', + 'offset' => '1152', + 'type' => '67486' + }, + '61' => { + 'name' => 'query_qp', + 'offset' => '1160', + 'type' => '67521' + }, + '62' => { + 'name' => 'query_qp_data_in_order', + 'offset' => '1174', + 'type' => '67551' + }, + '63' => { + 'name' => 'query_rt_values', + 'offset' => '1284', + 'type' => '64488' + }, + '64' => { + 'name' => 'query_srq', + 'offset' => '1298', + 'type' => '67576' + }, + '65' => { + 'name' => 'read_counters', + 'offset' => '1312', + 'type' => '63893' + }, + '66' => { + 'name' => 'reg_dm_mr', + 'offset' => '1320', + 'type' => '64023' + }, + '67' => { + 'name' => 'reg_dmabuf_mr', + 'offset' => '1334', + 'type' => '67621' + }, + '68' => { + 'name' => 'reg_mr', + 'offset' => '1348', + 'type' => '67661' + }, + '69' => { + 'name' => 'req_notify_cq', + 'offset' => '1362', + 'type' => '18235' + }, + '7' => { + 'name' => 'async_event', + 'offset' => '86', + 'type' => '66980' + }, + '70' => { + 'name' => 'rereg_mr', + 'offset' => '1376', + 'type' => '67706' + }, + '71' => { + 'name' => 'resize_cq', + 'offset' => '1384', + 'type' => '18235' + }, + '72' => { + 'name' => 'set_ece', + 'offset' => '1398', + 'type' => '67456' + }, + '73' => { + 'name' => 'unimport_dm', + 'offset' => '1412', + 'type' => '67722' + }, + '74' => { + 'name' => 'unimport_mr', + 'offset' => '1426', + 'type' => '67738' + }, + '75' => { + 'name' => 'unimport_pd', + 'offset' => '1536', + 'type' => '67754' + }, + '8' => { + 'name' => 'attach_counters_point_flow', + 'offset' => '100', + 'type' => '63933' + }, + '9' => { + 'name' => 'attach_mcast', + 'offset' => '114', + 'type' => '67015' + } + }, + 'Name' => 'struct verbs_context_ops', + 'Size' => '608', + 'Type' => 'Struct' + }, + '66954' => { + 'Name' => 'struct ibv_pd*(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '8991' + } + }, + 'Return' => '11395', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '66975' => { + 'BaseType' => '55829', + 'Name' => 'struct ibv_async_event*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '66980' => { + 'Name' => 'void(*)(struct ibv_context*, struct ibv_async_event*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '66975' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67015' => { + 'Name' => 'int(*)(struct ibv_qp*, union ibv_gid const*, uint16_t)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '23189' + }, + '2' => { + 'type' => '941' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67031' => { + 'Name' => 'void(*)(struct ibv_cq*)', + 'Param' => { + '0' => { + 'type' => '9734' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67061' => { + 'Name' => 'struct ibv_ah*(*)(struct ibv_pd*, struct ibv_ah_attr*)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '23194' + } + }, + 'Return' => '13672', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67096' => { + 'Name' => 'struct ibv_cq*(*)(struct ibv_context*, int, struct ibv_comp_channel*, int)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '161' + }, + '2' => { + 'type' => '15165' + }, + '3' => { + 'type' => '161' + } + }, + 'Return' => '9734', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67126' => { + 'Name' => 'struct ibv_qp*(*)(struct ibv_pd*, struct ibv_qp_init_attr*)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '23199' + } + }, + 'Return' => '9935', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67151' => { + 'BaseType' => '57216', + 'Name' => 'struct ibv_srq_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '67156' => { + 'Name' => 'struct ibv_srq*(*)(struct ibv_pd*, struct ibv_srq_init_attr*)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '67151' + } + }, + 'Return' => '10052', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67176' => { + 'Name' => 'int(*)(struct ibv_pd*)', + 'Param' => { + '0' => { + 'type' => '11395' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67201' => { + 'Name' => 'int(*)(struct verbs_mr*)', + 'Param' => { + '0' => { + 'type' => '23204' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67221' => { + 'Name' => 'int(*)(struct ibv_ah*)', + 'Param' => { + '0' => { + 'type' => '13672' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67241' => { + 'Name' => 'int(*)(struct ibv_cq*)', + 'Param' => { + '0' => { + 'type' => '9734' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67261' => { + 'Name' => 'int(*)(struct ibv_qp*)', + 'Param' => { + '0' => { + 'type' => '9935' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67281' => { + 'Name' => 'int(*)(struct ibv_srq*)', + 'Param' => { + '0' => { + 'type' => '10052' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67306' => { + 'Name' => 'struct ibv_dm*(*)(struct ibv_context*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '953' + } + }, + 'Return' => '53174', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67331' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '953' + } + }, + 'Return' => '11186', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67356' => { + 'Name' => 'struct ibv_pd*(*)(struct ibv_context*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '953' + } + }, + 'Return' => '11395', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67391' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_attr*, int)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '23209' + }, + '2' => { + 'type' => '161' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67426' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_srq_attr*, int)', + 'Param' => { + '0' => { + 'type' => '10052' + }, + '1' => { + 'type' => '23214' + }, + '2' => { + 'type' => '161' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67451' => { + 'BaseType' => '60274', + 'Name' => 'struct ibv_ece*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '67456' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_ece*)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '67451' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67486' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '8991' + }, + '1' => { + 'type' => '929' + }, + '2' => { + 'type' => '63788' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67521' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_qp_attr*, int, struct ibv_qp_init_attr*)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '23209' + }, + '2' => { + 'type' => '161' + }, + '3' => { + 'type' => '23199' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67551' => { + 'Name' => 'int(*)(struct ibv_qp*, enum ibv_wr_opcode, uint32_t)', + 'Param' => { + '0' => { + 'type' => '9935' + }, + '1' => { + 'type' => '13213' + }, + '2' => { + 'type' => '953' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67576' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_srq_attr*)', + 'Param' => { + '0' => { + 'type' => '10052' + }, + '1' => { + 'type' => '23214' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67621' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, uint64_t, size_t, uint64_t, int, int)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '965' + }, + '2' => { + 'type' => '53' + }, + '3' => { + 'type' => '965' + }, + '4' => { + 'type' => '161' + }, + '5' => { + 'type' => '161' + } + }, + 'Return' => '11186', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67661' => { + 'Name' => 'struct ibv_mr*(*)(struct ibv_pd*, void*, size_t, uint64_t, int)', + 'Param' => { + '0' => { + 'type' => '11395' + }, + '1' => { + 'type' => '82' + }, + '2' => { + 'type' => '53' + }, + '3' => { + 'type' => '965' + }, + '4' => { + 'type' => '161' + } + }, + 'Return' => '11186', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67706' => { + 'Name' => 'int(*)(struct verbs_mr*, int, struct ibv_pd*, void*, size_t, int)', + 'Param' => { + '0' => { + 'type' => '23204' + }, + '1' => { + 'type' => '161' + }, + '2' => { + 'type' => '11395' + }, + '3' => { + 'type' => '82' + }, + '4' => { + 'type' => '53' + }, + '5' => { + 'type' => '161' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67722' => { + 'Name' => 'void(*)(struct ibv_dm*)', + 'Param' => { + '0' => { + 'type' => '53174' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67738' => { + 'Name' => 'void(*)(struct ibv_mr*)', + 'Param' => { + '0' => { + 'type' => '11186' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '67754' => { + 'Name' => 'void(*)(struct ibv_pd*)', + 'Param' => { + '0' => { + 'type' => '11395' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '68055' => { + 'BaseType' => '46', + 'Name' => 'unsigned long[2]', + 'Size' => '16', + 'Type' => 'Array' + }, + '69598' => { + 'BaseType' => '62829', + 'Name' => 'struct ibv_cq_init_attr_ex const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '69603' => { + 'BaseType' => '65796', + 'Name' => 'struct verbs_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '69608' => { + 'BaseType' => '65582', + 'Name' => 'struct ibv_create_cq_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '69613' => { + 'BaseType' => '52202', + 'Name' => 'struct ib_uverbs_ex_create_cq_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '70' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '70075' => { + 'BaseType' => '65264', + 'Name' => 'struct ibv_create_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '70080' => { + 'BaseType' => '52132', + 'Name' => 'struct ib_uverbs_create_cq_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '73996' => { + 'BaseType' => '46', + 'Header' => undef, + 'Line' => '145', + 'Name' => '__dev_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '74056' => { + 'BaseType' => '226', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '74066' => { + 'BaseType' => '74056', + 'Name' => 'char const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '74100' => { + 'BaseType' => '73996', + 'Header' => undef, + 'Line' => '59', + 'Name' => 'dev_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '75565' => { + 'Header' => undef, + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => 'max_cq_moderation_count', + 'offset' => '0', + 'type' => '1001' + }, + '1' => { + 'name' => 'max_cq_moderation_period', + 'offset' => '2', + 'type' => '1001' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '4', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_cq_moderation_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '75737' => { + 'Header' => undef, + 'Line' => '171', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_get_context', + 'Size' => '8', + 'Type' => 'Struct' + }, + '75792' => { + 'Header' => undef, + 'Line' => '176', + 'Memb' => { + '0' => { + 'name' => 'async_fd', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'num_comp_vectors', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_get_context_resp', + 'Size' => '8', + 'Type' => 'Struct' + }, + '75885' => { + 'Header' => undef, + 'Line' => '187', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '8', + 'type' => '1061' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '96', + 'type' => '1013' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '100', + 'type' => '1013' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '104', + 'type' => '1013' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '114', + 'type' => '1013' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '118', + 'type' => '1013' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '128', + 'type' => '1013' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '132', + 'type' => '1013' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '136', + 'type' => '1013' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '146', + 'type' => '1013' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '150', + 'type' => '1013' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '22', + 'type' => '1061' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '256', + 'type' => '1013' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '260', + 'type' => '1013' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '264', + 'type' => '1013' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '274', + 'type' => '1013' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '278', + 'type' => '1013' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '288', + 'type' => '1013' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '292', + 'type' => '1013' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '296', + 'type' => '1013' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '306', + 'type' => '1013' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '310', + 'type' => '1013' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '36', + 'type' => '1025' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '320', + 'type' => '1013' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '324', + 'type' => '1013' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '328', + 'type' => '1013' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '338', + 'type' => '1013' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '342', + 'type' => '1013' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '352', + 'type' => '1013' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '356', + 'type' => '1013' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '360', + 'type' => '1001' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '368', + 'type' => '989' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '369', + 'type' => '989' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '50', + 'type' => '1025' + }, + '40' => { + 'name' => 'reserved', + 'offset' => '370', + 'type' => '76432' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '64', + 'type' => '1013' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '68', + 'type' => '1013' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '72', + 'type' => '1013' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '82', + 'type' => '1013' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '86', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_query_device_resp', + 'Size' => '176', + 'Type' => 'Struct' + }, + '76432' => { + 'BaseType' => '989', + 'Name' => '__u8[4]', + 'Size' => '4', + 'Type' => 'Array' + }, + '76488' => { + 'Header' => undef, + 'Line' => '238', + 'Memb' => { + '0' => { + 'name' => 'rc_odp_caps', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'uc_odp_caps', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'ud_odp_caps', + 'offset' => '8', + 'type' => '1013' + } + }, + 'Size' => '12', + 'Type' => 'Struct' + }, + '76537' => { + 'Header' => undef, + 'Line' => '236', + 'Memb' => { + '0' => { + 'name' => 'general_caps', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'per_transport_caps', + 'offset' => '8', + 'type' => '76488' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '32', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_odp_caps', + 'Size' => '24', + 'Type' => 'Struct' + }, + '76590' => { + 'Header' => undef, + 'Line' => '246', + 'Memb' => { + '0' => { + 'name' => 'supported_qpts', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'max_rwq_indirection_tables', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'max_rwq_indirection_table_size', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '18', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_rss_caps', + 'Size' => '16', + 'Type' => 'Struct' + }, + '76656' => { + 'Header' => undef, + 'Line' => '257', + 'Memb' => { + '0' => { + 'name' => 'max_rndv_hdr_size', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'max_num_tags', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'max_ops', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '22', + 'type' => '1013' + }, + '5' => { + 'name' => 'reserved', + 'offset' => '32', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_tm_caps', + 'Size' => '24', + 'Type' => 'Struct' + }, + '76754' => { + 'Header' => undef, + 'Line' => '271', + 'Memb' => { + '0' => { + 'name' => 'base', + 'offset' => '0', + 'type' => '75885' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '374', + 'type' => '1013' + }, + '10' => { + 'name' => 'tm_caps', + 'offset' => '598', + 'type' => '76656' + }, + '11' => { + 'name' => 'cq_moderation_caps', + 'offset' => '640', + 'type' => '75565' + }, + '12' => { + 'name' => 'max_dm_size', + 'offset' => '648', + 'type' => '1025' + }, + '13' => { + 'name' => 'xrc_odp_caps', + 'offset' => '662', + 'type' => '1013' + }, + '14' => { + 'name' => 'reserved', + 'offset' => '768', + 'type' => '1013' + }, + '2' => { + 'name' => 'response_length', + 'offset' => '384', + 'type' => '1013' + }, + '3' => { + 'name' => 'odp_caps', + 'offset' => '388', + 'type' => '76537' + }, + '4' => { + 'name' => 'timestamp_mask', + 'offset' => '520', + 'type' => '1025' + }, + '5' => { + 'name' => 'hca_core_clock', + 'offset' => '534', + 'type' => '1025' + }, + '6' => { + 'name' => 'device_cap_flags_ex', + 'offset' => '548', + 'type' => '1025' + }, + '7' => { + 'name' => 'rss_caps', + 'offset' => '562', + 'type' => '76590' + }, + '8' => { + 'name' => 'max_wq_type_rq', + 'offset' => '584', + 'type' => '1013' + }, + '9' => { + 'name' => 'raw_packet_caps', + 'offset' => '594', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_query_device_resp', + 'Size' => '304', + 'Type' => 'Struct' + }, + '76982' => { + 'Header' => undef, + 'Line' => '289', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'port_num', + 'offset' => '8', + 'type' => '989' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '9', + 'type' => '1564' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_query_port', + 'Size' => '16', + 'Type' => 'Struct' + }, + '77893' => { + 'Header' => undef, + 'Line' => '78', + 'Memb' => { + '0' => { + 'name' => 'gid', + 'offset' => '0', + 'type' => '8669' + }, + '1' => { + 'name' => 'gid_index', + 'offset' => '22', + 'type' => '953' + }, + '2' => { + 'name' => 'port_num', + 'offset' => '32', + 'type' => '953' + }, + '3' => { + 'name' => 'gid_type', + 'offset' => '36', + 'type' => '953' + }, + '4' => { + 'name' => 'ndev_ifindex', + 'offset' => '40', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_gid_entry', + 'Size' => '32', + 'Type' => 'Struct' + }, + '8070' => { + 'Header' => undef, + 'Line' => '1205', + 'Memb' => { + '0' => { + 'name' => 'srq_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'attr_mask', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'max_wr', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'srq_limit', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_modify_srq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '8153' => { + 'Header' => undef, + 'Line' => '1213', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'srq_handle', + 'offset' => '8', + 'type' => '1013' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '18', + 'type' => '1013' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Name' => 'struct ib_uverbs_query_srq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '82' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8292' => { + 'Header' => undef, + 'Line' => '1270', + 'Memb' => { + '0' => { + 'name' => 'attr_mask', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'wq_handle', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'wq_state', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'curr_wq_state', + 'offset' => '18', + 'type' => '1013' + }, + '4' => { + 'name' => 'flags', + 'offset' => '22', + 'type' => '1013' + }, + '5' => { + 'name' => 'flags_mask', + 'offset' => '32', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_modify_wq', + 'Size' => '24', + 'Type' => 'Struct' + }, + '8448' => { + 'Header' => undef, + 'Line' => '1291', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'response_length', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '1013' + }, + '3' => { + 'name' => 'ind_tbl_num', + 'offset' => '18', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_create_rwq_ind_table_resp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '8519' => { + 'Header' => undef, + 'Line' => '1303', + 'Memb' => { + '0' => { + 'name' => 'cq_count', + 'offset' => '0', + 'type' => '1001' + }, + '1' => { + 'name' => 'cq_period', + 'offset' => '2', + 'type' => '1001' + } + }, + 'Name' => 'struct ib_uverbs_cq_moderation', + 'Size' => '4', + 'Type' => 'Struct' + }, + '8562' => { + 'Header' => undef, + 'Line' => '1308', + 'Memb' => { + '0' => { + 'name' => 'cq_handle', + 'offset' => '0', + 'type' => '1013' + }, + '1' => { + 'name' => 'attr_mask', + 'offset' => '4', + 'type' => '1013' + }, + '2' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '8519' + }, + '3' => { + 'name' => 'reserved', + 'offset' => '18', + 'type' => '1013' + } + }, + 'Name' => 'struct ib_uverbs_ex_modify_cq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '8633' => { + 'Header' => undef, + 'Line' => '66', + 'Memb' => { + '0' => { + 'name' => 'subnet_prefix', + 'offset' => '0', + 'type' => '1061' + }, + '1' => { + 'name' => 'interface_id', + 'offset' => '8', + 'type' => '1061' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '8669' => { + 'Header' => undef, + 'Line' => '64', + 'Memb' => { + '0' => { + 'name' => 'raw', + 'offset' => '0', + 'type' => '8712' + }, + '1' => { + 'name' => 'global', + 'offset' => '0', + 'type' => '8633' + } + }, + 'Name' => 'union ibv_gid', + 'Size' => '16', + 'Type' => 'Union' + }, + '8707' => { + 'BaseType' => '8669', + 'Name' => 'union ibv_gid const', + 'Size' => '16', + 'Type' => 'Const' + }, + '8712' => { + 'BaseType' => '929', + 'Name' => 'uint8_t[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '8728' => { + 'Header' => undef, + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8792' => { + 'Header' => undef, + 'Line' => '105', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8844' => { + 'Header' => undef, + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => 'IBV_ATOMIC_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_ATOMIC_HCA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_ATOMIC_GLOB', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_atomic_cap', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8879' => { + 'Header' => undef, + 'Line' => '2035', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '17378' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '17558' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '612', + 'type' => '161' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '616', + 'type' => '161' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '626', + 'type' => '161' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '640', + 'type' => '832' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '800', + 'type' => '82' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '89' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '89019' => { + 'BaseType' => '73955', + 'Header' => undef, + 'Line' => '46', + 'Name' => 'atomic_int', + 'Type' => 'Typedef' + }, + '89099' => { + 'Header' => undef, + 'Line' => '193', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'driver_data', + 'offset' => '8', + 'type' => '1549' + } + }, + 'Size' => '8', + 'Type' => 'Struct' + }, + '89133' => { + 'Header' => undef, + 'Line' => '193', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '89099' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '75737' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '89160' => { + 'Header' => undef, + 'Line' => '193', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '89133' + } + }, + 'Name' => 'struct ibv_get_context', + 'Size' => '16', + 'Type' => 'Struct' + }, + '89337' => { + 'Header' => undef, + 'Line' => '203', + 'Memb' => { + '0' => { + 'name' => 'response', + 'offset' => '0', + 'type' => '1025' + }, + '1' => { + 'name' => 'port_num', + 'offset' => '8', + 'type' => '989' + }, + '2' => { + 'name' => 'reserved', + 'offset' => '9', + 'type' => '1564' + }, + '3' => { + 'name' => 'driver_data', + 'offset' => '22', + 'type' => '1549' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '89397' => { + 'Header' => undef, + 'Line' => '203', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '89337' + }, + '1' => { + 'name' => 'core_payload', + 'offset' => '0', + 'type' => '76982' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '89424' => { + 'Header' => undef, + 'Line' => '203', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '1430' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '89397' + } + }, + 'Name' => 'struct ibv_query_port', + 'Size' => '24', + 'Type' => 'Struct' + }, + '89595' => { + 'Header' => undef, + 'Line' => '24', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '89635' + }, + '1' => { + 'name' => 'prev', + 'offset' => '8', + 'type' => '89635' + } + }, + 'Name' => 'struct list_node', + 'Size' => '16', + 'Type' => 'Struct' + }, + '89635' => { + 'BaseType' => '89595', + 'Name' => 'struct list_node*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '89640' => { + 'Header' => undef, + 'Line' => '130', + 'Memb' => { + '0' => { + 'name' => 'IBV_GID_TYPE_SYSFS_IB_ROCE_V1', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_GID_TYPE_SYSFS_ROCE_V2', + 'value' => '1' + } + }, + 'Name' => 'enum ibv_gid_type_sysfs', + 'Size' => '4', + 'Type' => 'Enum' + }, + '89704' => { + 'Header' => undef, + 'Line' => '201', + 'Memb' => { + '0' => { + 'name' => 'modalias', + 'offset' => '0', + 'type' => '74066' + }, + '1' => { + 'name' => 'driver_id', + 'offset' => '0', + 'type' => '965' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '89738' => { + 'Header' => undef, + 'Line' => '199', + 'Memb' => { + '0' => { + 'name' => 'driver_data', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'u', + 'offset' => '8', + 'type' => '89704' + }, + '2' => { + 'name' => 'vendor', + 'offset' => '22', + 'type' => '941' + }, + '3' => { + 'name' => 'device', + 'offset' => '24', + 'type' => '941' + }, + '4' => { + 'name' => 'kind', + 'offset' => '32', + 'type' => '929' + } + }, + 'Name' => 'struct verbs_match_ent', + 'Size' => '24', + 'Type' => 'Struct' + }, + '89815' => { + 'BaseType' => '89738', + 'Name' => 'struct verbs_match_ent const', + 'Size' => '24', + 'Type' => 'Const' + }, + '89820' => { + 'Header' => undef, + 'Line' => '249', + 'Memb' => { + '0' => { + 'name' => 'entry', + 'offset' => '0', + 'type' => '89595' + }, + '1' => { + 'name' => 'provider_data', + 'offset' => '22', + 'type' => '82' + }, + '10' => { + 'name' => 'driver_id', + 'offset' => '2386', + 'type' => '953' + }, + '11' => { + 'name' => 'node_type', + 'offset' => '2390', + 'type' => '8728' + }, + '12' => { + 'name' => 'ibdev_idx', + 'offset' => '2400', + 'type' => '161' + }, + '13' => { + 'name' => 'num_ports', + 'offset' => '2404', + 'type' => '953' + }, + '14' => { + 'name' => 'abi_ver', + 'offset' => '2408', + 'type' => '953' + }, + '15' => { + 'name' => 'time_created', + 'offset' => '2422', + 'type' => '50831' + }, + '2' => { + 'name' => 'match', + 'offset' => '36', + 'type' => '90061' + }, + '3' => { + 'name' => 'flags', + 'offset' => '50', + 'type' => '70' + }, + '4' => { + 'name' => 'sysfs_name', + 'offset' => '54', + 'type' => '9530' + }, + '5' => { + 'name' => 'sysfs_cdev', + 'offset' => '260', + 'type' => '74100' + }, + '6' => { + 'name' => 'ibdev_name', + 'offset' => '274', + 'type' => '9530' + }, + '7' => { + 'name' => 'ibdev_path', + 'offset' => '374', + 'type' => '17542' + }, + '8' => { + 'name' => 'modalias', + 'offset' => '1074', + 'type' => '90066' + }, + '9' => { + 'name' => 'node_guid', + 'offset' => '2372', + 'type' => '965' + } + }, + 'Name' => 'struct verbs_sysfs_dev', + 'Size' => '992', + 'Type' => 'Struct' + }, + '8991' => { + 'BaseType' => '8879', + 'Name' => 'struct ibv_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8996' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '9530' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '100', + 'type' => '1061' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '278', + 'type' => '70' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '288', + 'type' => '161' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '292', + 'type' => '161' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '296', + 'type' => '161' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '306', + 'type' => '161' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '310', + 'type' => '161' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '320', + 'type' => '161' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '324', + 'type' => '161' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '328', + 'type' => '161' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '338', + 'type' => '161' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '114', + 'type' => '1061' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '342', + 'type' => '161' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '352', + 'type' => '161' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '356', + 'type' => '8844' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '360', + 'type' => '161' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '370', + 'type' => '161' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '374', + 'type' => '161' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '384', + 'type' => '161' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '388', + 'type' => '161' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '392', + 'type' => '161' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '402', + 'type' => '161' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '128', + 'type' => '965' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '406', + 'type' => '161' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '512', + 'type' => '161' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '516', + 'type' => '161' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '520', + 'type' => '161' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '530', + 'type' => '161' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '534', + 'type' => '161' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '544', + 'type' => '161' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '548', + 'type' => '941' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '550', + 'type' => '929' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '551', + 'type' => '929' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '136', + 'type' => '965' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '150', + 'type' => '953' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '256', + 'type' => '953' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '260', + 'type' => '953' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '264', + 'type' => '161' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '274', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_device_attr', + 'Size' => '232', + 'Type' => 'Struct' + }, + '90061' => { + 'BaseType' => '89815', + 'Name' => 'struct verbs_match_ent const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '90066' => { + 'BaseType' => '226', + 'Name' => 'char[512]', + 'Size' => '512', + 'Type' => 'Array' + }, + '90083' => { + 'Header' => undef, + 'Line' => '269', + 'Memb' => { + '0' => { + 'name' => 'name', + 'offset' => '0', + 'type' => '74066' + }, + '1' => { + 'name' => 'match_min_abi_version', + 'offset' => '8', + 'type' => '953' + }, + '2' => { + 'name' => 'match_max_abi_version', + 'offset' => '18', + 'type' => '953' + }, + '3' => { + 'name' => 'match_table', + 'offset' => '22', + 'type' => '90061' + }, + '4' => { + 'name' => 'static_providers', + 'offset' => '36', + 'type' => '90242' + }, + '5' => { + 'name' => 'match_device', + 'offset' => '50', + 'type' => '90272' + }, + '6' => { + 'name' => 'alloc_context', + 'offset' => '64', + 'type' => '90307' + }, + '7' => { + 'name' => 'import_context', + 'offset' => '72', + 'type' => '90332' + }, + '8' => { + 'name' => 'alloc_device', + 'offset' => '86', + 'type' => '90461' + }, + '9' => { + 'name' => 'uninit_device', + 'offset' => '100', + 'type' => '90477' + } + }, + 'Name' => 'struct verbs_device_ops', + 'Size' => '72', + 'Type' => 'Struct' + }, + '90237' => { + 'BaseType' => '90083', + 'Name' => 'struct verbs_device_ops const', + 'Size' => '72', + 'Type' => 'Const' + }, + '90242' => { + 'BaseType' => '90247', + 'Name' => 'struct verbs_device_ops const**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '90247' => { + 'BaseType' => '90237', + 'Name' => 'struct verbs_device_ops const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '90267' => { + 'BaseType' => '89820', + 'Name' => 'struct verbs_sysfs_dev*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '90272' => { + 'Name' => '_Bool(*)(struct verbs_sysfs_dev*)', + 'Param' => { + '0' => { + 'type' => '90267' + } + }, + 'Return' => '18370', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '90302' => { + 'BaseType' => '63161', + 'Name' => 'struct verbs_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '90307' => { + 'Name' => 'struct verbs_context*(*)(struct ibv_device*, int, void*)', + 'Param' => { + '0' => { + 'type' => '17378' + }, + '1' => { + 'type' => '161' + }, + '2' => { + 'type' => '82' + } + }, + 'Return' => '90302', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '90332' => { + 'Name' => 'struct verbs_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '17378' + }, + '1' => { + 'type' => '161' + } + }, + 'Return' => '90302', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '90337' => { + 'Header' => undef, + 'Line' => '290', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '17383' + }, + '1' => { + 'name' => 'ops', + 'offset' => '1636', + 'type' => '90247' + }, + '2' => { + 'name' => 'refcount', + 'offset' => '1650', + 'type' => '89019' + }, + '3' => { + 'name' => 'entry', + 'offset' => '1664', + 'type' => '89595' + }, + '4' => { + 'name' => 'sysfs', + 'offset' => '1686', + 'type' => '90267' + }, + '5' => { + 'name' => 'core_support', + 'offset' => '1796', + 'type' => '965' + } + }, + 'Name' => 'struct verbs_device', + 'Size' => '712', + 'Type' => 'Struct' + }, + '90456' => { + 'BaseType' => '90337', + 'Name' => 'struct verbs_device*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '90461' => { + 'Name' => 'struct verbs_device*(*)(struct verbs_sysfs_dev*)', + 'Param' => { + '0' => { + 'type' => '90267' + } + }, + 'Return' => '90456', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '90477' => { + 'Name' => 'void(*)(struct verbs_device*)', + 'Param' => { + '0' => { + 'type' => '90456' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '918' => { + 'BaseType' => '928', + 'Name' => 'void const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '92641' => { + 'BaseType' => '76754', + 'Name' => 'struct ib_uverbs_ex_query_device_resp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '92646' => { + 'BaseType' => '53', + 'Name' => 'size_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '928' => { + 'BaseType' => '1', + 'Name' => 'void const', + 'Type' => 'Const' + }, + '929' => { + 'BaseType' => '125', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '93899' => { + 'BaseType' => '77893', + 'Name' => 'struct ibv_gid_entry*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '941' => { + 'BaseType' => '149', + 'Header' => undef, + 'Line' => '25', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '953' => { + 'BaseType' => '173', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '9530' => { + 'BaseType' => '226', + 'Name' => 'char[64]', + 'Size' => '64', + 'Type' => 'Array' + }, + '9546' => { + 'Header' => undef, + 'Line' => '363', + 'Memb' => { + '0' => { + 'name' => 'IBV_MTU_256', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MTU_512', + 'value' => '2' + }, + '2' => { + 'name' => 'IBV_MTU_1024', + 'value' => '3' + }, + '3' => { + 'name' => 'IBV_MTU_2048', + 'value' => '4' + }, + '4' => { + 'name' => 'IBV_MTU_4096', + 'value' => '5' + } + }, + 'Name' => 'enum ibv_mtu', + 'Size' => '4', + 'Type' => 'Enum' + }, + '9593' => { + 'Header' => undef, + 'Line' => '1507', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '15165' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '82' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '953' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '161' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '832' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '906' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '953' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '965' => { + 'BaseType' => '197', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '9734' => { + 'BaseType' => '9593', + 'Name' => 'struct ibv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9739' => { + 'Header' => undef, + 'Line' => '1282', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '82' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '100', + 'type' => '832' + }, + '11' => { + 'name' => 'cond', + 'offset' => '260', + 'type' => '906' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '338', + 'type' => '953' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '11395' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '36', + 'type' => '9734' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '50', + 'type' => '9734' + }, + '5' => { + 'name' => 'srq', + 'offset' => '64', + 'type' => '10052' + }, + '6' => { + 'name' => 'handle', + 'offset' => '72', + 'type' => '953' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '82', + 'type' => '953' + }, + '8' => { + 'name' => 'state', + 'offset' => '86', + 'type' => '12734' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '96', + 'type' => '12165' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '97820' => { + 'BaseType' => '89640', + 'Name' => 'enum ibv_gid_type_sysfs*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '97951' => { + 'BaseType' => '8669', + 'Name' => 'union ibv_gid*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '989' => { + 'BaseType' => '89', + 'Header' => undef, + 'Line' => '21', + 'Name' => '__u8', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '9935' => { + 'BaseType' => '9739', + 'Name' => 'struct ibv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9940' => { + 'Header' => undef, + 'Line' => '1242', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '8991' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '82' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '11395' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '953' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '832' + }, + '5' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '906' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '288', + 'type' => '953' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + } + }, + 'UndefinedSymbols' => { + 'libibverbs.so.1.14.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__asprintf_chk@GLIBC_2.8' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__fdelt_chk@GLIBC_2.15' => 0, + '__fprintf_chk@GLIBC_2.3.4' => 0, + '__getdelim@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__isoc99_sscanf@GLIBC_2.7' => 0, + '__snprintf_chk@GLIBC_2.3.4' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + '__strcpy_chk@GLIBC_2.3.4' => 0, + '__vasprintf_chk@GLIBC_2.8' => 0, + '__vfprintf_chk@GLIBC_2.3.4' => 0, + 'bind@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'close@GLIBC_2.2.5' => 0, + 'closedir@GLIBC_2.2.5' => 0, + 'dirfd@GLIBC_2.2.5' => 0, + 'dlerror@GLIBC_2.34' => 0, + 'dlopen@GLIBC_2.34' => 0, + 'fclose@GLIBC_2.2.5' => 0, + 'fcntl@GLIBC_2.2.5' => 0, + 'fgets@GLIBC_2.2.5' => 0, + 'fnmatch@GLIBC_2.2.5' => 0, + 'fopen@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'freeaddrinfo@GLIBC_2.2.5' => 0, + 'freeifaddrs@GLIBC_2.3' => 0, + 'fstat@GLIBC_2.33' => 0, + 'fwrite@GLIBC_2.2.5' => 0, + 'getenv@GLIBC_2.2.5' => 0, + 'geteuid@GLIBC_2.2.5' => 0, + 'getifaddrs@GLIBC_2.3' => 0, + 'getpid@GLIBC_2.2.5' => 0, + 'getrandom@GLIBC_2.25' => 0, + 'getrlimit@GLIBC_2.2.5' => 0, + 'getuid@GLIBC_2.2.5' => 0, + 'if_nametoindex@GLIBC_2.2.5' => 0, + 'inotify_add_watch@GLIBC_2.4' => 0, + 'inotify_init1@GLIBC_2.9' => 0, + 'ioctl@GLIBC_2.2.5' => 0, + 'madvise@GLIBC_2.2.5' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcmp@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'nl_addr_build' => 0, + 'nl_addr_clone' => 0, + 'nl_addr_fill_sockaddr' => 0, + 'nl_addr_get_binary_addr' => 0, + 'nl_addr_get_family' => 0, + 'nl_addr_get_len' => 0, + 'nl_addr_get_prefixlen' => 0, + 'nl_addr_info' => 0, + 'nl_addr_put' => 0, + 'nl_addr_set_prefixlen' => 0, + 'nl_cache_free' => 0, + 'nl_cache_mngt_provide' => 0, + 'nl_cache_mngt_unprovide' => 0, + 'nl_cache_refill' => 0, + 'nl_connect' => 0, + 'nl_msg_parse' => 0, + 'nl_object_match_filter' => 0, + 'nl_recvmsgs_default' => 0, + 'nl_send_auto' => 0, + 'nl_send_simple' => 0, + 'nl_socket_add_membership' => 0, + 'nl_socket_alloc' => 0, + 'nl_socket_disable_auto_ack' => 0, + 'nl_socket_disable_msg_peek' => 0, + 'nl_socket_disable_seq_check' => 0, + 'nl_socket_free' => 0, + 'nl_socket_get_fd' => 0, + 'nl_socket_modify_cb' => 0, + 'nl_socket_modify_err_cb' => 0, + 'nla_get_string' => 0, + 'nla_get_u32' => 0, + 'nla_get_u64' => 0, + 'nla_get_u8' => 0, + 'nla_put' => 0, + 'nlmsg_alloc_simple' => 0, + 'nlmsg_append' => 0, + 'nlmsg_free' => 0, + 'nlmsg_hdr' => 0, + 'nlmsg_parse' => 0, + 'open@GLIBC_2.2.5' => 0, + 'openat@GLIBC_2.4' => 0, + 'opendir@GLIBC_2.2.5' => 0, + 'poll@GLIBC_2.2.5' => 0, + 'posix_memalign@GLIBC_2.2.5' => 0, + 'pthread_cond_init@GLIBC_2.3.2' => 0, + 'pthread_cond_signal@GLIBC_2.3.2' => 0, + 'pthread_cond_wait@GLIBC_2.3.2' => 0, + 'pthread_mutex_init@GLIBC_2.2.5' => 0, + 'pthread_mutex_lock@GLIBC_2.2.5' => 0, + 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, + 'rand_r@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'readdir@GLIBC_2.2.5' => 0, + 'rtnl_link_alloc_cache' => 0, + 'rtnl_link_get' => 0, + 'rtnl_link_get_addr' => 0, + 'rtnl_link_is_vlan' => 0, + 'rtnl_link_put' => 0, + 'rtnl_link_vlan_get_id' => 0, + 'rtnl_neigh_alloc' => 0, + 'rtnl_neigh_alloc_cache' => 0, + 'rtnl_neigh_get' => 0, + 'rtnl_neigh_get_lladdr' => 0, + 'rtnl_neigh_put' => 0, + 'rtnl_neigh_set_dst' => 0, + 'rtnl_neigh_set_ifindex' => 0, + 'rtnl_route_alloc_cache' => 0, + 'rtnl_route_get_pref_src' => 0, + 'rtnl_route_get_type' => 0, + 'rtnl_route_nexthop_n' => 0, + 'rtnl_route_nh_get_gateway' => 0, + 'rtnl_route_nh_get_ifindex' => 0, + 'select@GLIBC_2.2.5' => 0, + 'sendto@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'socket@GLIBC_2.2.5' => 0, + 'stat@GLIBC_2.33' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'strcmp@GLIBC_2.2.5' => 0, + 'strcpy@GLIBC_2.2.5' => 0, + 'strdup@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strndup@GLIBC_2.2.5' => 0, + 'strsep@GLIBC_2.2.5' => 0, + 'strspn@GLIBC_2.2.5' => 0, + 'strstr@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'strtoul@GLIBC_2.2.5' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'time@GLIBC_2.2.5' => 0, + 'timerfd_create@GLIBC_2.8' => 0, + 'timerfd_settime@GLIBC_2.8' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/mana.dump b/ABI/mana.dump new file mode 100644 index 000000000..9c3c5175b --- /dev/null +++ b/ABI/mana.dump @@ -0,0 +1,2626 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'libmana.so.1.0.49.0', + 'LibraryVersion' => 'mana', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '40499' => { + 'Header' => undef, + 'Line' => '43', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '40836' + }, + '1' => { + 'name' => 'obj_type', + 'type' => '968' + } + }, + 'Return' => '78', + 'ShortName' => 'manadv_init_obj' + }, + '40856' => { + 'Header' => undef, + 'Line' => '23', + 'Param' => { + '0' => { + 'name' => 'ibv_ctx', + 'type' => '4192' + }, + '1' => { + 'name' => 'type', + 'type' => '39564' + }, + '2' => { + 'name' => 'attr', + 'type' => '121' + } + }, + 'Return' => '78', + 'ShortName' => 'manadv_set_context_attr' + } + }, + 'SymbolVersion' => { + 'manadv_init_obj' => 'manadv_init_obj@@MANA_1.0', + 'manadv_set_context_attr' => 'manadv_set_context_attr@@MANA_1.0' + }, + 'Symbols' => { + 'libmana.so.1.0.49.0' => { + 'manadv_init_obj@@MANA_1.0' => 1, + 'manadv_set_context_attr@@MANA_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '10151' => { + 'Header' => undef, + 'Line' => '1102', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WR_FLUSH', + 'value' => '14' + }, + '13' => { + 'name' => 'IBV_WR_ATOMIC_WRITE', + 'value' => '15' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '10252' => { + 'Header' => undef, + 'Line' => '1144', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '968' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '956' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '18', + 'type' => '956' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '10308' => { + 'Header' => undef, + 'Line' => '1160', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '1057' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '956' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '1033' => { + 'BaseType' => '109', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '10341' => { + 'Header' => undef, + 'Line' => '1165', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '968' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '956' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '10379' => { + 'Header' => undef, + 'Line' => '1169', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '968' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '968' + }, + '2' => { + 'name' => 'swap', + 'offset' => '22', + 'type' => '968' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '36', + 'type' => '956' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '10445' => { + 'Header' => undef, + 'Line' => '1175', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '10551' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '956' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '18', + 'type' => '956' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '1045' => { + 'BaseType' => '406', + 'Header' => undef, + 'Line' => '31', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '10496' => { + 'Header' => undef, + 'Line' => '1694', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '7928' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '956' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '10551' => { + 'BaseType' => '10496', + 'Name' => 'struct ibv_ah*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10556' => { + 'Header' => undef, + 'Line' => '1164', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '10341' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '10379' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '10445' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '1057' => { + 'BaseType' => '1033', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '10600' => { + 'Header' => undef, + 'Line' => '1182', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '956' + } + }, + 'Size' => '4', + 'Type' => 'Struct' + }, + '10624' => { + 'Header' => undef, + 'Line' => '1181', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '10600' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '10645' => { + 'Header' => undef, + 'Line' => '1187', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '10696' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '956' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '7562' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '1069' => { + 'BaseType' => '1045', + 'Header' => undef, + 'Line' => '29', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '10696' => { + 'BaseType' => '7962', + 'Name' => 'struct ibv_mw*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10701' => { + 'Header' => undef, + 'Line' => '1192', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '121' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '944' + }, + '2' => { + 'name' => 'mss', + 'offset' => '16', + 'type' => '944' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '10753' => { + 'Header' => undef, + 'Line' => '1186', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '10645' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '10701' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '10786' => { + 'Header' => undef, + 'Line' => '1150', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '968' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '10923' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '10928' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '78' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '40', + 'type' => '10151' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '50', + 'type' => '109' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '54', + 'type' => '10308' + }, + '7' => { + 'name' => 'wr', + 'offset' => '64', + 'type' => '10556' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '114', + 'type' => '10624' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '128', + 'type' => '10753' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '109' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '10923' => { + 'BaseType' => '10786', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10928' => { + 'BaseType' => '10252', + 'Name' => 'struct ibv_sge*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10933' => { + 'Header' => undef, + 'Line' => '1200', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '968' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '11003' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '10928' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '78' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '11003' => { + 'BaseType' => '10933', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11263' => { + 'Header' => undef, + 'Line' => '1236', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '968' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '109' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '7562' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '11344' => { + 'BaseType' => '11003', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11349' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '6866' + }, + '1' => { + 'type' => '11003' + }, + '2' => { + 'type' => '11344' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '11410' => { + 'Header' => undef, + 'Line' => '1501', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '78' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '18', + 'type' => '78' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11465' => { + 'BaseType' => '11410', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '121' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '123' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '12729' => { + 'Header' => undef, + 'Line' => '1967', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '12910' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '12926' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '12791' => { + 'BaseType' => '12796', + 'Name' => 'struct ibv_device*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12796' => { + 'Header' => undef, + 'Line' => '1977', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '12729' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '22', + 'type' => '3791' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '32', + 'type' => '3855' + }, + '3' => { + 'name' => 'name', + 'offset' => '36', + 'type' => '4806' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '136', + 'type' => '4806' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '338', + 'type' => '12957' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '1032', + 'type' => '12957' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '12910' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '12791' + }, + '1' => { + 'type' => '78' + } + }, + 'Return' => '4192', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12926' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '4192' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12957' => { + 'BaseType' => '267', + 'Name' => 'char[256]', + 'Size' => '256', + 'Type' => 'Array' + }, + '12973' => { + 'Header' => undef, + 'Line' => '1992', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '13461' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '13501' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '128', + 'type' => '13511' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '136', + 'type' => '13626' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '150', + 'type' => '13651' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '260', + 'type' => '13511' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '274', + 'type' => '13511' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '288', + 'type' => '13511' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '296', + 'type' => '13511' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '310', + 'type' => '13511' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '324', + 'type' => '13511' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '338', + 'type' => '13511' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '22', + 'type' => '13511' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '352', + 'type' => '13681' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '360', + 'type' => '13511' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '374', + 'type' => '13511' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '388', + 'type' => '13511' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '402', + 'type' => '13511' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '512', + 'type' => '13716' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '520', + 'type' => '13746' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '534', + 'type' => '13511' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '548', + 'type' => '13511' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '562', + 'type' => '13511' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '36', + 'type' => '13511' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '576', + 'type' => '13511' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '584', + 'type' => '13511' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '50', + 'type' => '13511' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '64', + 'type' => '13511' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '72', + 'type' => '13511' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '86', + 'type' => '13536' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '100', + 'type' => '13571' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '114', + 'type' => '13591' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '13456' => { + 'BaseType' => '4272', + 'Name' => 'struct ibv_device_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13461' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_device_attr*)', + 'Param' => { + '0' => { + 'type' => '4192' + }, + '1' => { + 'type' => '13456' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13491' => { + 'BaseType' => '13496', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13496' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '135' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '13501' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '4192' + }, + '1' => { + 'type' => '932' + }, + '2' => { + 'type' => '13491' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13511' => { + 'Name' => 'void*(*)()', + 'Return' => '121', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13536' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '7928' + }, + '1' => { + 'type' => '7933' + } + }, + 'Return' => '10696', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13566' => { + 'BaseType' => '11263', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13571' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '6551' + }, + '1' => { + 'type' => '10696' + }, + '2' => { + 'type' => '13566' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13591' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '10696' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13621' => { + 'BaseType' => '7375', + 'Name' => 'struct ibv_wc*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13626' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '6351' + }, + '1' => { + 'type' => '78' + }, + '2' => { + 'type' => '13621' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13651' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '6351' + }, + '1' => { + 'type' => '78' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13681' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '6667' + }, + '1' => { + 'type' => '11003' + }, + '2' => { + 'type' => '11344' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13711' => { + 'BaseType' => '10923', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13716' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '6551' + }, + '1' => { + 'type' => '10923' + }, + '2' => { + 'type' => '13711' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '13746' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '6551' + }, + '1' => { + 'type' => '11003' + }, + '2' => { + 'type' => '11344' + } + }, + 'Return' => '78', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '159' => { + 'BaseType' => '123', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '183' => { + 'BaseType' => '135', + 'Header' => undef, + 'Line' => '40', + 'Name' => '__uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '195' => { + 'BaseType' => '109', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '207' => { + 'BaseType' => '66', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '267' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '3791' => { + 'Header' => undef, + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '3855' => { + 'Header' => undef, + 'Line' => '105', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '3907' => { + 'Header' => undef, + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => 'IBV_ATOMIC_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_ATOMIC_HCA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_ATOMIC_GLOB', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_atomic_cap', + 'Size' => '4', + 'Type' => 'Enum' + }, + '39564' => { + 'Header' => undef, + 'Line' => '18', + 'Memb' => { + '0' => { + 'name' => 'MANADV_CTX_ATTR_BUF_ALLOCATORS', + 'value' => '0' + } + }, + 'Name' => 'enum manadv_set_ctx_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '39686' => { + 'Header' => undef, + 'Line' => '32', + 'Memb' => { + '0' => { + 'name' => 'sq_buf', + 'offset' => '0', + 'type' => '121' + }, + '1' => { + 'name' => 'sq_count', + 'offset' => '8', + 'type' => '956' + }, + '2' => { + 'name' => 'sq_size', + 'offset' => '18', + 'type' => '956' + }, + '3' => { + 'name' => 'sq_id', + 'offset' => '22', + 'type' => '956' + }, + '4' => { + 'name' => 'tx_vp_offset', + 'offset' => '32', + 'type' => '956' + }, + '5' => { + 'name' => 'db_page', + 'offset' => '36', + 'type' => '121' + } + }, + 'Name' => 'struct manadv_qp', + 'Size' => '32', + 'Type' => 'Struct' + }, + '39778' => { + 'Header' => undef, + 'Line' => '41', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '121' + }, + '1' => { + 'name' => 'count', + 'offset' => '8', + 'type' => '956' + }, + '2' => { + 'name' => 'cq_id', + 'offset' => '18', + 'type' => '956' + } + }, + 'Name' => 'struct manadv_cq', + 'Size' => '16', + 'Type' => 'Struct' + }, + '39831' => { + 'Header' => undef, + 'Line' => '47', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '121' + }, + '1' => { + 'name' => 'count', + 'offset' => '8', + 'type' => '956' + }, + '2' => { + 'name' => 'size', + 'offset' => '18', + 'type' => '956' + }, + '3' => { + 'name' => 'wq_id', + 'offset' => '22', + 'type' => '956' + }, + '4' => { + 'name' => 'db_page', + 'offset' => '36', + 'type' => '121' + } + }, + 'Name' => 'struct manadv_rwq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '39910' => { + 'Header' => undef, + 'Line' => '56', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '6551' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '39945' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '39945' => { + 'BaseType' => '39686', + 'Name' => 'struct manadv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '39950' => { + 'Header' => undef, + 'Line' => '61', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '6351' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '39985' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '39985' => { + 'BaseType' => '39778', + 'Name' => 'struct manadv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '39990' => { + 'Header' => undef, + 'Line' => '66', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '6866' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '40025' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '40025' => { + 'BaseType' => '39831', + 'Name' => 'struct manadv_rwq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '40030' => { + 'Header' => undef, + 'Line' => '55', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '39910' + }, + '1' => { + 'name' => 'cq', + 'offset' => '22', + 'type' => '39950' + }, + '2' => { + 'name' => 'rwq', + 'offset' => '50', + 'type' => '39990' + } + }, + 'Name' => 'struct manadv_obj', + 'Size' => '48', + 'Type' => 'Struct' + }, + '406' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '4074' => { + 'Header' => undef, + 'Line' => '2035', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '12791' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '12973' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '612', + 'type' => '78' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '616', + 'type' => '78' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '626', + 'type' => '78' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '640', + 'type' => '788' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '800', + 'type' => '121' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '40836' => { + 'BaseType' => '40030', + 'Name' => 'struct manadv_obj*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4192' => { + 'BaseType' => '4074', + 'Name' => 'struct ibv_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4272' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '4806' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '100', + 'type' => '1069' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '278', + 'type' => '109' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '288', + 'type' => '78' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '292', + 'type' => '78' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '296', + 'type' => '78' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '306', + 'type' => '78' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '310', + 'type' => '78' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '320', + 'type' => '78' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '324', + 'type' => '78' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '328', + 'type' => '78' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '338', + 'type' => '78' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '114', + 'type' => '1069' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '342', + 'type' => '78' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '352', + 'type' => '78' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '356', + 'type' => '3907' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '360', + 'type' => '78' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '370', + 'type' => '78' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '374', + 'type' => '78' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '384', + 'type' => '78' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '388', + 'type' => '78' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '392', + 'type' => '78' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '402', + 'type' => '78' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '128', + 'type' => '968' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '406', + 'type' => '78' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '512', + 'type' => '78' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '516', + 'type' => '78' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '520', + 'type' => '78' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '530', + 'type' => '78' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '534', + 'type' => '78' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '544', + 'type' => '78' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '548', + 'type' => '944' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '550', + 'type' => '932' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '551', + 'type' => '932' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '136', + 'type' => '968' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '150', + 'type' => '956' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '256', + 'type' => '956' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '260', + 'type' => '956' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '264', + 'type' => '78' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '274', + 'type' => '78' + } + }, + 'Name' => 'struct ibv_device_attr', + 'Size' => '232', + 'Type' => 'Struct' + }, + '4806' => { + 'BaseType' => '267', + 'Name' => 'char[64]', + 'Size' => '64', + 'Type' => 'Array' + }, + '54' => { + 'BaseType' => '66', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '6211' => { + 'Header' => undef, + 'Line' => '1507', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '11465' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '121' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '956' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '78' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '788' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '862' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '956' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '956' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '6351' => { + 'BaseType' => '6211', + 'Name' => 'struct ibv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6356' => { + 'Header' => undef, + 'Line' => '1282', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '121' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '100', + 'type' => '788' + }, + '11' => { + 'name' => 'cond', + 'offset' => '260', + 'type' => '862' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '338', + 'type' => '956' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '7928' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '36', + 'type' => '6351' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '50', + 'type' => '6351' + }, + '5' => { + 'name' => 'srq', + 'offset' => '64', + 'type' => '6667' + }, + '6' => { + 'name' => 'handle', + 'offset' => '72', + 'type' => '956' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '82', + 'type' => '956' + }, + '8' => { + 'name' => 'state', + 'offset' => '86', + 'type' => '9603' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '96', + 'type' => '8947' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '6551' => { + 'BaseType' => '6356', + 'Name' => 'struct ibv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6556' => { + 'Header' => undef, + 'Line' => '1242', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '121' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '7928' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '956' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '788' + }, + '5' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '862' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '288', + 'type' => '956' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '66' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '6667' => { + 'BaseType' => '6556', + 'Name' => 'struct ibv_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6672' => { + 'Header' => undef, + 'Line' => '1264', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'wq_context', + 'offset' => '8', + 'type' => '121' + }, + '10' => { + 'name' => 'cond', + 'offset' => '150', + 'type' => '862' + }, + '11' => { + 'name' => 'events_completed', + 'offset' => '324', + 'type' => '956' + }, + '12' => { + 'name' => 'comp_mask', + 'offset' => '328', + 'type' => '956' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '7928' + }, + '3' => { + 'name' => 'cq', + 'offset' => '36', + 'type' => '6351' + }, + '4' => { + 'name' => 'wq_num', + 'offset' => '50', + 'type' => '956' + }, + '5' => { + 'name' => 'handle', + 'offset' => '54', + 'type' => '956' + }, + '6' => { + 'name' => 'state', + 'offset' => '64', + 'type' => '8691' + }, + '7' => { + 'name' => 'wq_type', + 'offset' => '68', + 'type' => '8544' + }, + '8' => { + 'name' => 'post_recv', + 'offset' => '72', + 'type' => '11349' + }, + '9' => { + 'name' => 'mutex', + 'offset' => '86', + 'type' => '788' + } + }, + 'Name' => 'struct ibv_wq', + 'Size' => '152', + 'Type' => 'Struct' + }, + '6866' => { + 'BaseType' => '6672', + 'Name' => 'struct ibv_wq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6913' => { + 'Header' => undef, + 'Line' => '484', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7074' => { + 'Header' => undef, + 'Line' => '512', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '11' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + }, + '12' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '13' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '14' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '15' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '16' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '17' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '18' => { + 'name' => 'IBV_WC_DRIVER2', + 'value' => '136' + }, + '19' => { + 'name' => 'IBV_WC_DRIVER3', + 'value' => '137' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_FLUSH', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_ATOMIC_WRITE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7342' => { + 'Header' => undef, + 'Line' => '597', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '1057' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '956' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '7375' => { + 'Header' => undef, + 'Line' => '588', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '968' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '6913' + }, + '10' => { + 'name' => 'slid', + 'offset' => '66', + 'type' => '944' + }, + '11' => { + 'name' => 'sl', + 'offset' => '68', + 'type' => '932' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '69', + 'type' => '932' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '18', + 'type' => '7074' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '22', + 'type' => '956' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '32', + 'type' => '956' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '7342' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '40', + 'type' => '956' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '50', + 'type' => '956' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '54', + 'type' => '109' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '64', + 'type' => '944' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '7562' => { + 'Header' => undef, + 'Line' => '624', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '7742' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '968' + }, + '2' => { + 'name' => 'length', + 'offset' => '22', + 'type' => '968' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '36', + 'type' => '109' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '7631' => { + 'Header' => undef, + 'Line' => '667', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '7928' + }, + '2' => { + 'name' => 'addr', + 'offset' => '22', + 'type' => '121' + }, + '3' => { + 'name' => 'length', + 'offset' => '36', + 'type' => '54' + }, + '4' => { + 'name' => 'handle', + 'offset' => '50', + 'type' => '956' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '54', + 'type' => '956' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '64', + 'type' => '956' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '7742' => { + 'BaseType' => '7631', + 'Name' => 'struct ibv_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7747' => { + 'Header' => undef, + 'Line' => '631', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '956' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '78' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '7928' => { + 'BaseType' => '7747', + 'Name' => 'struct ibv_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7933' => { + 'Header' => undef, + 'Line' => '677', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7962' => { + 'Header' => undef, + 'Line' => '682', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '4192' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '7928' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '22', + 'type' => '956' + }, + '3' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '956' + }, + '4' => { + 'name' => 'type', + 'offset' => '36', + 'type' => '7933' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '8544' => { + 'Header' => undef, + 'Line' => '819', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQT_RQ', + 'value' => '0' + } + }, + 'Name' => 'enum ibv_wq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8691' => { + 'Header' => undef, + 'Line' => '847', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WQS_RDY', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WQS_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WQS_UNKNOWN', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_wq_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '8947' => { + 'Header' => undef, + 'Line' => '900', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '932' => { + 'BaseType' => '159', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '944' => { + 'BaseType' => '183', + 'Header' => undef, + 'Line' => '25', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '956' => { + 'BaseType' => '195', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '9603' => { + 'Header' => undef, + 'Line' => '1049', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '968' => { + 'BaseType' => '207', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + } + }, + 'UndefinedSymbols' => { + 'libmana.so.1.0.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + '__verbs_log@IBVERBS_PRIVATE_34' => 0, + '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_34' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_qp_ex2@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_rwq_ind_table@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_wq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_rwq_ind_table@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_wq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_get_context@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_device_any@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_port@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_34' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'mmap@GLIBC_2.2.5' => 0, + 'munmap@GLIBC_2.2.5' => 0, + 'verbs_register_driver_34@IBVERBS_PRIVATE_34' => 0, + 'verbs_set_ops@IBVERBS_PRIVATE_34' => 0, + 'verbs_uninit_context@IBVERBS_PRIVATE_34' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/mlx4.dump b/ABI/mlx4.dump new file mode 100644 index 000000000..a134ba00d --- /dev/null +++ b/ABI/mlx4.dump @@ -0,0 +1,3260 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'libmlx4.so.1.0.49.0', + 'LibraryVersion' => 'mlx4', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '178056' => { + 'Header' => undef, + 'Line' => '1051', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '1699' + }, + '1' => { + 'name' => 'attr', + 'type' => '13059' + }, + '2' => { + 'name' => 'mlx4_qp_attr', + 'type' => '109704' + } + }, + 'Return' => '4032', + 'ShortName' => 'mlx4dv_create_qp' + }, + '87424' => { + 'Header' => undef, + 'Line' => '401', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '1699' + }, + '1' => { + 'name' => 'attr_type', + 'type' => '83277' + }, + '2' => { + 'name' => 'attr', + 'type' => '243' + } + }, + 'Return' => '70', + 'ShortName' => 'mlx4dv_set_context_attr' + }, + '87520' => { + 'Header' => undef, + 'Line' => '388', + 'Param' => { + '0' => { + 'name' => 'ctx_in', + 'type' => '1699' + }, + '1' => { + 'name' => 'attrs_out', + 'type' => '87603' + } + }, + 'Return' => '70', + 'ShortName' => 'mlx4dv_query_device' + }, + '87608' => { + 'Header' => undef, + 'Line' => '372', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '88052' + }, + '1' => { + 'name' => 'obj_type', + 'type' => '957' + } + }, + 'Return' => '70', + 'ShortName' => 'mlx4dv_init_obj' + } + }, + 'SymbolVersion' => { + 'mlx4dv_create_qp' => 'mlx4dv_create_qp@@MLX4_1.0', + 'mlx4dv_init_obj' => 'mlx4dv_init_obj@@MLX4_1.0', + 'mlx4dv_query_device' => 'mlx4dv_query_device@@MLX4_1.0', + 'mlx4dv_set_context_attr' => 'mlx4dv_set_context_attr@@MLX4_1.0' + }, + 'Symbols' => { + 'libmlx4.so.1.0.49.0' => { + 'mlx4dv_create_qp@@MLX4_1.0' => 1, + 'mlx4dv_init_obj@@MLX4_1.0' => 1, + 'mlx4dv_query_device@@MLX4_1.0' => 1, + 'mlx4dv_set_context_attr@@MLX4_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '1005' => { + 'BaseType' => '981', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '10103' => { + 'Header' => undef, + 'Line' => '1967', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '10282' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '10298' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '10165' => { + 'BaseType' => '10170', + 'Name' => 'struct ibv_device*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1017' => { + 'BaseType' => '993', + 'Header' => undef, + 'Line' => '29', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '10170' => { + 'Header' => undef, + 'Line' => '1977', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '10103' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '22', + 'type' => '1305' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '32', + 'type' => '1369' + }, + '3' => { + 'name' => 'name', + 'offset' => '36', + 'type' => '2313' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '136', + 'type' => '2313' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '338', + 'type' => '10303' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '1032', + 'type' => '10303' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '10282' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '10165' + }, + '1' => { + 'type' => '70' + } + }, + 'Return' => '1699', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10298' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '1699' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10303' => { + 'BaseType' => '257', + 'Name' => 'char[256]', + 'Size' => '256', + 'Type' => 'Array' + }, + '10319' => { + 'Header' => undef, + 'Line' => '1992', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '10806' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '10846' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '128', + 'type' => '10856' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '136', + 'type' => '10971' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '150', + 'type' => '10996' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '260', + 'type' => '10856' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '274', + 'type' => '10856' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '288', + 'type' => '10856' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '296', + 'type' => '10856' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '310', + 'type' => '10856' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '324', + 'type' => '10856' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '338', + 'type' => '10856' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '22', + 'type' => '10856' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '352', + 'type' => '11026' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '360', + 'type' => '10856' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '374', + 'type' => '10856' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '388', + 'type' => '10856' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '402', + 'type' => '10856' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '512', + 'type' => '11061' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '520', + 'type' => '11091' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '534', + 'type' => '10856' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '548', + 'type' => '10856' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '562', + 'type' => '10856' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '36', + 'type' => '10856' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '576', + 'type' => '10856' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '584', + 'type' => '10856' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '50', + 'type' => '10856' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '64', + 'type' => '10856' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '72', + 'type' => '10856' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '86', + 'type' => '10881' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '100', + 'type' => '10916' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '114', + 'type' => '10936' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '106674' => { + 'Header' => undef, + 'Line' => '425', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '957' + }, + '1' => { + 'name' => 'inl_recv_sz', + 'offset' => '8', + 'type' => '945' + } + }, + 'Name' => 'struct mlx4dv_qp_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '10801' => { + 'BaseType' => '1779', + 'Name' => 'struct ibv_device_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10806' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_device_attr*)', + 'Param' => { + '0' => { + 'type' => '1699' + }, + '1' => { + 'type' => '10801' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10836' => { + 'BaseType' => '10841', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10841' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '10846' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '1699' + }, + '1' => { + 'type' => '921' + }, + '2' => { + 'type' => '10836' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10856' => { + 'Name' => 'void*(*)()', + 'Return' => '243', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10881' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '5232' + }, + '1' => { + 'type' => '5237' + } + }, + 'Return' => '7270', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10911' => { + 'BaseType' => '7829', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10916' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '4032' + }, + '1' => { + 'type' => '7270' + }, + '2' => { + 'type' => '10911' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10936' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '7270' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10966' => { + 'BaseType' => '4678', + 'Name' => 'struct ibv_wc*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '109704' => { + 'BaseType' => '106674', + 'Name' => 'struct mlx4dv_qp_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10971' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '3834' + }, + '1' => { + 'type' => '70' + }, + '2' => { + 'type' => '10966' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10996' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '3834' + }, + '1' => { + 'type' => '70' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '11026' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '4147' + }, + '1' => { + 'type' => '7573' + }, + '2' => { + 'type' => '7910' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '11056' => { + 'BaseType' => '7493', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11061' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '4032' + }, + '1' => { + 'type' => '7493' + }, + '2' => { + 'type' => '11056' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '11091' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '4032' + }, + '1' => { + 'type' => '7573' + }, + '2' => { + 'type' => '7910' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '111' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '123' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '1305' => { + 'Header' => undef, + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '13059' => { + 'BaseType' => '6222', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13089' => { + 'BaseType' => '945', + 'Name' => 'uint32_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13396' => { + 'BaseType' => '1005', + 'Name' => '__be32*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '135' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '1369' => { + 'Header' => undef, + 'Line' => '105', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1421' => { + 'Header' => undef, + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => 'IBV_ATOMIC_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_ATOMIC_HCA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_ATOMIC_GLOB', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_atomic_cap', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1588' => { + 'Header' => undef, + 'Line' => '2035', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '10165' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '10319' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '612', + 'type' => '70' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '616', + 'type' => '70' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '626', + 'type' => '70' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '640', + 'type' => '771' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '800', + 'type' => '243' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '159' => { + 'BaseType' => '111', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '1699' => { + 'BaseType' => '1588', + 'Name' => 'struct ibv_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1779' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '2313' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '100', + 'type' => '1017' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '278', + 'type' => '135' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '288', + 'type' => '70' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '292', + 'type' => '70' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '296', + 'type' => '70' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '306', + 'type' => '70' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '310', + 'type' => '70' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '320', + 'type' => '70' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '324', + 'type' => '70' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '328', + 'type' => '70' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '338', + 'type' => '70' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '114', + 'type' => '1017' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '342', + 'type' => '70' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '352', + 'type' => '70' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '356', + 'type' => '1421' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '360', + 'type' => '70' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '370', + 'type' => '70' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '374', + 'type' => '70' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '384', + 'type' => '70' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '388', + 'type' => '70' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '392', + 'type' => '70' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '402', + 'type' => '70' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '128', + 'type' => '957' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '406', + 'type' => '70' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '512', + 'type' => '70' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '516', + 'type' => '70' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '520', + 'type' => '70' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '530', + 'type' => '70' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '534', + 'type' => '70' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '544', + 'type' => '70' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '548', + 'type' => '933' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '550', + 'type' => '921' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '551', + 'type' => '921' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '136', + 'type' => '957' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '150', + 'type' => '945' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '256', + 'type' => '945' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '260', + 'type' => '945' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '264', + 'type' => '70' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '274', + 'type' => '70' + } + }, + 'Name' => 'struct ibv_device_attr', + 'Size' => '232', + 'Type' => 'Struct' + }, + '183' => { + 'BaseType' => '123', + 'Header' => undef, + 'Line' => '40', + 'Name' => '__uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '195' => { + 'BaseType' => '135', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '207' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '219' => { + 'BaseType' => '87', + 'Header' => undef, + 'Line' => '152', + 'Name' => '__off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2313' => { + 'BaseType' => '257', + 'Name' => 'char[64]', + 'Size' => '64', + 'Type' => 'Array' + }, + '243' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '257' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '269' => { + 'BaseType' => '219', + 'Header' => undef, + 'Line' => '85', + 'Name' => 'off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '3695' => { + 'Header' => undef, + 'Line' => '1507', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '8848' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '243' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '945' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '70' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '771' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '844' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '945' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '945' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '3834' => { + 'BaseType' => '3695', + 'Name' => 'struct ibv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '3839' => { + 'Header' => undef, + 'Line' => '1282', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '243' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '100', + 'type' => '771' + }, + '11' => { + 'name' => 'cond', + 'offset' => '260', + 'type' => '844' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '338', + 'type' => '945' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '5232' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '36', + 'type' => '3834' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '50', + 'type' => '3834' + }, + '5' => { + 'name' => 'srq', + 'offset' => '64', + 'type' => '4147' + }, + '6' => { + 'name' => 'handle', + 'offset' => '72', + 'type' => '945' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '82', + 'type' => '945' + }, + '8' => { + 'name' => 'state', + 'offset' => '86', + 'type' => '6545' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '96', + 'type' => '6005' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '390' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '4032' => { + 'BaseType' => '3839', + 'Name' => 'struct ibv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4037' => { + 'Header' => undef, + 'Line' => '1242', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '243' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '5232' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '945' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '771' + }, + '5' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '844' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '288', + 'type' => '945' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '4147' => { + 'BaseType' => '4037', + 'Name' => 'struct ibv_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4152' => { + 'Header' => undef, + 'Line' => '1264', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'wq_context', + 'offset' => '8', + 'type' => '243' + }, + '10' => { + 'name' => 'cond', + 'offset' => '150', + 'type' => '844' + }, + '11' => { + 'name' => 'events_completed', + 'offset' => '324', + 'type' => '945' + }, + '12' => { + 'name' => 'comp_mask', + 'offset' => '328', + 'type' => '945' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '5232' + }, + '3' => { + 'name' => 'cq', + 'offset' => '36', + 'type' => '3834' + }, + '4' => { + 'name' => 'wq_num', + 'offset' => '50', + 'type' => '945' + }, + '5' => { + 'name' => 'handle', + 'offset' => '54', + 'type' => '945' + }, + '6' => { + 'name' => 'state', + 'offset' => '64', + 'type' => '5750' + }, + '7' => { + 'name' => 'wq_type', + 'offset' => '68', + 'type' => '5606' + }, + '8' => { + 'name' => 'post_recv', + 'offset' => '72', + 'type' => '7915' + }, + '9' => { + 'name' => 'mutex', + 'offset' => '86', + 'type' => '771' + } + }, + 'Name' => 'struct ibv_wq', + 'Size' => '152', + 'Type' => 'Struct' + }, + '4344' => { + 'BaseType' => '4152', + 'Name' => 'struct ibv_wq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4349' => { + 'Header' => undef, + 'Line' => '484', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '4509' => { + 'Header' => undef, + 'Line' => '512', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '11' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + }, + '12' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '13' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '14' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '15' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '16' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '17' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '18' => { + 'name' => 'IBV_WC_DRIVER2', + 'value' => '136' + }, + '19' => { + 'name' => 'IBV_WC_DRIVER3', + 'value' => '137' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_FLUSH', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_ATOMIC_WRITE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '46' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '4645' => { + 'Header' => undef, + 'Line' => '597', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '1005' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '945' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '4678' => { + 'Header' => undef, + 'Line' => '588', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '957' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '4349' + }, + '10' => { + 'name' => 'slid', + 'offset' => '66', + 'type' => '933' + }, + '11' => { + 'name' => 'sl', + 'offset' => '68', + 'type' => '921' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '69', + 'type' => '921' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '18', + 'type' => '4509' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '22', + 'type' => '945' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '32', + 'type' => '945' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '4645' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '40', + 'type' => '945' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '50', + 'type' => '945' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '54', + 'type' => '135' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '64', + 'type' => '933' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '4864' => { + 'Header' => undef, + 'Line' => '624', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '5047' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '957' + }, + '2' => { + 'name' => 'length', + 'offset' => '22', + 'type' => '957' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '36', + 'type' => '135' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '4937' => { + 'Header' => undef, + 'Line' => '667', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '5232' + }, + '2' => { + 'name' => 'addr', + 'offset' => '22', + 'type' => '243' + }, + '3' => { + 'name' => 'length', + 'offset' => '36', + 'type' => '46' + }, + '4' => { + 'name' => 'handle', + 'offset' => '50', + 'type' => '945' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '54', + 'type' => '945' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '64', + 'type' => '945' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '5047' => { + 'BaseType' => '4937', + 'Name' => 'struct ibv_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5052' => { + 'Header' => undef, + 'Line' => '631', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '945' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '5204' => { + 'Header' => undef, + 'Line' => '656', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '5232' => { + 'BaseType' => '5052', + 'Name' => 'struct ibv_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5237' => { + 'Header' => undef, + 'Line' => '677', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '5265' => { + 'Header' => undef, + 'Line' => '682', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '5232' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '22', + 'type' => '945' + }, + '3' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '945' + }, + '4' => { + 'name' => 'type', + 'offset' => '36', + 'type' => '5237' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '5601' => { + 'BaseType' => '5204', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5606' => { + 'Header' => undef, + 'Line' => '819', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQT_RQ', + 'value' => '0' + } + }, + 'Name' => 'enum ibv_wq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '5750' => { + 'Header' => undef, + 'Line' => '847', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WQS_RDY', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WQS_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WQS_UNKNOWN', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_wq_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '58' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '5874' => { + 'Header' => undef, + 'Line' => '879', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '70' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '18', + 'type' => '70' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '945' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '6005' => { + 'Header' => undef, + 'Line' => '900', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '6063' => { + 'Header' => undef, + 'Line' => '910', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '945' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '945' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '945' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '18', + 'type' => '945' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '22', + 'type' => '945' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '6147' => { + 'Header' => undef, + 'Line' => '962', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '921' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '921' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '6217' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '22', + 'type' => '957' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '6217' => { + 'BaseType' => '921', + 'Name' => 'uint8_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6222' => { + 'Header' => undef, + 'Line' => '971', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '243' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '3834' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '128', + 'type' => '945' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '132', + 'type' => '933' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '136', + 'type' => '6456' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '150', + 'type' => '6147' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '288', + 'type' => '945' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '296', + 'type' => '957' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '3834' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '4147' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '6063' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '6005' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '70' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '96', + 'type' => '945' + }, + '8' => { + 'name' => 'pd', + 'offset' => '100', + 'type' => '5232' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '114', + 'type' => '5601' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '6456' => { + 'BaseType' => '5874', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6545' => { + 'Header' => undef, + 'Line' => '1049', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '6679' => { + 'Header' => undef, + 'Line' => '1102', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WR_FLUSH', + 'value' => '14' + }, + '13' => { + 'name' => 'IBV_WR_ATOMIC_WRITE', + 'value' => '15' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '6826' => { + 'Header' => undef, + 'Line' => '1144', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '957' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '945' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '18', + 'type' => '945' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6887' => { + 'Header' => undef, + 'Line' => '1160', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '1005' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '945' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '6920' => { + 'Header' => undef, + 'Line' => '1165', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '957' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '945' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '6958' => { + 'Header' => undef, + 'Line' => '1169', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '957' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '957' + }, + '2' => { + 'name' => 'swap', + 'offset' => '22', + 'type' => '957' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '36', + 'type' => '945' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '70' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '7024' => { + 'Header' => undef, + 'Line' => '1175', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '7128' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '945' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '18', + 'type' => '945' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '7074' => { + 'Header' => undef, + 'Line' => '1694', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '5232' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '945' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '7128' => { + 'BaseType' => '7074', + 'Name' => 'struct ibv_ah*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7133' => { + 'Header' => undef, + 'Line' => '1164', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '6920' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '6958' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '7024' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '7176' => { + 'Header' => undef, + 'Line' => '1182', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '945' + } + }, + 'Size' => '4', + 'Type' => 'Struct' + }, + '7200' => { + 'Header' => undef, + 'Line' => '1181', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '7176' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '7220' => { + 'Header' => undef, + 'Line' => '1187', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '7270' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '945' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '4864' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '7270' => { + 'BaseType' => '5265', + 'Name' => 'struct ibv_mw*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7275' => { + 'Header' => undef, + 'Line' => '1192', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '243' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '933' + }, + '2' => { + 'name' => 'mss', + 'offset' => '16', + 'type' => '933' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '7325' => { + 'Header' => undef, + 'Line' => '1186', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '7220' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '7275' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '7357' => { + 'Header' => undef, + 'Line' => '1150', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '957' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '7493' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '7498' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '70' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '40', + 'type' => '6679' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '50', + 'type' => '135' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '54', + 'type' => '6887' + }, + '7' => { + 'name' => 'wr', + 'offset' => '64', + 'type' => '7133' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '114', + 'type' => '7200' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '128', + 'type' => '7325' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '7493' => { + 'BaseType' => '7357', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7498' => { + 'BaseType' => '6826', + 'Name' => 'struct ibv_sge*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7503' => { + 'Header' => undef, + 'Line' => '1200', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '957' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '7573' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '7498' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '70' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '7573' => { + 'BaseType' => '7503', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7829' => { + 'Header' => undef, + 'Line' => '1236', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '957' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '135' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '4864' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '7910' => { + 'BaseType' => '7573', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7915' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '4344' + }, + '1' => { + 'type' => '7573' + }, + '2' => { + 'type' => '7910' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '82215' => { + 'Header' => undef, + 'Line' => '161', + 'Memb' => { + '0' => { + 'name' => 'wqe_cnt', + 'offset' => '0', + 'type' => '945' + }, + '1' => { + 'name' => 'wqe_shift', + 'offset' => '4', + 'type' => '70' + }, + '2' => { + 'name' => 'offset', + 'offset' => '8', + 'type' => '70' + } + }, + 'Size' => '12', + 'Type' => 'Struct' + }, + '82264' => { + 'Header' => undef, + 'Line' => '166', + 'Memb' => { + '0' => { + 'name' => 'wqe_cnt', + 'offset' => '0', + 'type' => '945' + }, + '1' => { + 'name' => 'wqe_shift', + 'offset' => '4', + 'type' => '70' + }, + '2' => { + 'name' => 'offset', + 'offset' => '8', + 'type' => '70' + } + }, + 'Size' => '12', + 'Type' => 'Struct' + }, + '82313' => { + 'Header' => undef, + 'Line' => '171', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '243' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '46' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '82349' => { + 'Header' => undef, + 'Line' => '157', + 'Memb' => { + '0' => { + 'name' => 'rdb', + 'offset' => '0', + 'type' => '13396' + }, + '1' => { + 'name' => 'sdb', + 'offset' => '8', + 'type' => '13089' + }, + '2' => { + 'name' => 'doorbell_qpn', + 'offset' => '22', + 'type' => '1005' + }, + '3' => { + 'name' => 'sq', + 'offset' => '32', + 'type' => '82215' + }, + '4' => { + 'name' => 'rq', + 'offset' => '50', + 'type' => '82264' + }, + '5' => { + 'name' => 'buf', + 'offset' => '72', + 'type' => '82313' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '100', + 'type' => '957' + }, + '7' => { + 'name' => 'uar_mmap_offset', + 'offset' => '114', + 'type' => '269' + } + }, + 'Name' => 'struct mlx4dv_qp', + 'Size' => '80', + 'Type' => 'Struct' + }, + '82493' => { + 'Header' => undef, + 'Line' => '184', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '243' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '46' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '82529' => { + 'Header' => undef, + 'Line' => '183', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '82493' + }, + '1' => { + 'name' => 'cqe_cnt', + 'offset' => '22', + 'type' => '945' + }, + '2' => { + 'name' => 'cqn', + 'offset' => '32', + 'type' => '945' + }, + '3' => { + 'name' => 'set_ci_db', + 'offset' => '36', + 'type' => '13396' + }, + '4' => { + 'name' => 'arm_db', + 'offset' => '50', + 'type' => '13396' + }, + '5' => { + 'name' => 'arm_sn', + 'offset' => '64', + 'type' => '70' + }, + '6' => { + 'name' => 'cqe_size', + 'offset' => '68', + 'type' => '70' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '72', + 'type' => '957' + }, + '8' => { + 'name' => 'cq_uar', + 'offset' => '86', + 'type' => '243' + } + }, + 'Name' => 'struct mlx4dv_cq', + 'Size' => '64', + 'Type' => 'Struct' + }, + '82660' => { + 'Header' => undef, + 'Line' => '199', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '243' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '46' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '82696' => { + 'Header' => undef, + 'Line' => '198', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '82660' + }, + '1' => { + 'name' => 'wqe_shift', + 'offset' => '22', + 'type' => '70' + }, + '2' => { + 'name' => 'head', + 'offset' => '32', + 'type' => '70' + }, + '3' => { + 'name' => 'tail', + 'offset' => '36', + 'type' => '70' + }, + '4' => { + 'name' => 'db', + 'offset' => '50', + 'type' => '13396' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '957' + } + }, + 'Name' => 'struct mlx4dv_srq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '82787' => { + 'Header' => undef, + 'Line' => '212', + 'Memb' => { + '0' => { + 'name' => 'wqe_cnt', + 'offset' => '0', + 'type' => '945' + }, + '1' => { + 'name' => 'wqe_shift', + 'offset' => '4', + 'type' => '70' + }, + '2' => { + 'name' => 'offset', + 'offset' => '8', + 'type' => '70' + } + }, + 'Size' => '12', + 'Type' => 'Struct' + }, + '82836' => { + 'Header' => undef, + 'Line' => '217', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '243' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '46' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '82872' => { + 'Header' => undef, + 'Line' => '210', + 'Memb' => { + '0' => { + 'name' => 'rdb', + 'offset' => '0', + 'type' => '13396' + }, + '1' => { + 'name' => 'rq', + 'offset' => '8', + 'type' => '82787' + }, + '2' => { + 'name' => 'buf', + 'offset' => '36', + 'type' => '82836' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '957' + } + }, + 'Name' => 'struct mlx4dv_rwq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '82937' => { + 'Header' => undef, + 'Line' => '225', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '4032' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '82972' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '82972' => { + 'BaseType' => '82349', + 'Name' => 'struct mlx4dv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '82977' => { + 'Header' => undef, + 'Line' => '229', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '3834' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '83012' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '83012' => { + 'BaseType' => '82529', + 'Name' => 'struct mlx4dv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '83017' => { + 'Header' => undef, + 'Line' => '233', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '4147' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '83052' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '83052' => { + 'BaseType' => '82696', + 'Name' => 'struct mlx4dv_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '83057' => { + 'Header' => undef, + 'Line' => '237', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '4344' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '83092' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '83092' => { + 'BaseType' => '82872', + 'Name' => 'struct mlx4dv_rwq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '83097' => { + 'Header' => undef, + 'Line' => '224', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '82937' + }, + '1' => { + 'name' => 'cq', + 'offset' => '22', + 'type' => '82977' + }, + '2' => { + 'name' => 'srq', + 'offset' => '50', + 'type' => '83017' + }, + '3' => { + 'name' => 'rwq', + 'offset' => '72', + 'type' => '83057' + } + }, + 'Name' => 'struct mlx4dv_obj', + 'Size' => '64', + 'Type' => 'Struct' + }, + '83221' => { + 'Header' => undef, + 'Line' => '437', + 'Memb' => { + '0' => { + 'name' => 'version', + 'offset' => '0', + 'type' => '921' + }, + '1' => { + 'name' => 'max_inl_recv_sz', + 'offset' => '4', + 'type' => '945' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '957' + } + }, + 'Name' => 'struct mlx4dv_context', + 'Size' => '16', + 'Type' => 'Struct' + }, + '83277' => { + 'Header' => undef, + 'Line' => '539', + 'Memb' => { + '0' => { + 'name' => 'MLX4DV_SET_CTX_ATTR_LOG_WQS_RANGE_SZ', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX4DV_SET_CTX_ATTR_BUF_ALLOCATORS', + 'value' => '1' + } + }, + 'Name' => 'enum mlx4dv_set_ctx_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '87' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '87603' => { + 'BaseType' => '83221', + 'Name' => 'struct mlx4dv_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8794' => { + 'Header' => undef, + 'Line' => '1501', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '1699' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '70' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '18', + 'type' => '70' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '88052' => { + 'BaseType' => '83097', + 'Name' => 'struct mlx4dv_obj*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8848' => { + 'BaseType' => '8794', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '921' => { + 'BaseType' => '159', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '933' => { + 'BaseType' => '183', + 'Header' => undef, + 'Line' => '25', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '945' => { + 'BaseType' => '195', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '957' => { + 'BaseType' => '207', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '981' => { + 'BaseType' => '135', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '993' => { + 'BaseType' => '390', + 'Header' => undef, + 'Line' => '31', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + } + }, + 'UndefinedSymbols' => { + 'libmlx4.so.1.0.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__gmon_start__' => 0, + '__printf_chk@GLIBC_2.3.4' => 0, + '__snprintf_chk@GLIBC_2.3.4' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_34' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'fwrite@GLIBC_2.2.5' => 0, + 'ibv_cmd_alloc_mw@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_attach_mcast@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_close_xrcd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_cq_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_flow@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_qp_ex2@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_rwq_ind_table@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_srq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_srq_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_wq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dealloc_mw@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_flow@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_rwq_ind_table@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_srq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_wq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_detach_mcast@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_get_context@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_srq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_wq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_open_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_open_xrcd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_device_any@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_port@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_srq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_rereg_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_resize_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_dofork_range@IBVERBS_1.1' => 0, + 'ibv_dontfork_range@IBVERBS_1.1' => 0, + 'ibv_query_device@IBVERBS_1.1' => 0, + 'ibv_query_gid@IBVERBS_1.1' => 0, + 'ibv_query_port@IBVERBS_1.1' => 0, + 'ibv_resolve_eth_l2_from_gid@IBVERBS_1.1' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'mmap@GLIBC_2.2.5' => 0, + 'munmap@GLIBC_2.2.5' => 0, + 'pthread_mutex_init@GLIBC_2.2.5' => 0, + 'pthread_mutex_lock@GLIBC_2.2.5' => 0, + 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, + 'pthread_spin_init@GLIBC_2.34' => 0, + 'pthread_spin_lock@GLIBC_2.34' => 0, + 'pthread_spin_unlock@GLIBC_2.34' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'verbs_register_driver_34@IBVERBS_PRIVATE_34' => 0, + 'verbs_set_ops@IBVERBS_PRIVATE_34' => 0, + 'verbs_uninit_context@IBVERBS_PRIVATE_34' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/mlx5.dump b/ABI/mlx5.dump new file mode 100644 index 000000000..9244ce10b --- /dev/null +++ b/ABI/mlx5.dump @@ -0,0 +1,15747 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'libmlx5.so.1.24.49.0', + 'LibraryVersion' => 'mlx5', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '1166226' => { + 'Header' => undef, + 'Line' => '5664', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'addr', + 'type' => '308' + }, + '2' => { + 'name' => 'size', + 'type' => '419' + }, + '3' => { + 'name' => 'access', + 'type' => '2001' + } + }, + 'Return' => '29526', + 'ShortName' => 'mlx5dv_devx_umem_reg' + }, + '1166429' => { + 'Header' => undef, + 'Line' => '5697', + 'Param' => { + '0' => { + 'name' => 'dv_devx_umem', + 'type' => '29526' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_umem_dereg' + }, + '1190468' => { + 'Header' => undef, + 'Line' => '5942', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'port_num', + 'type' => '2001' + }, + '2' => { + 'name' => 'info', + 'type' => '30898' + }, + '3' => { + 'name' => 'info_len', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => '_mlx5dv_query_port' + }, + '1342653' => { + 'Header' => undef, + 'Line' => '6162', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '5100' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_qp_query' + }, + '1342781' => { + 'Header' => undef, + 'Line' => '6210', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '5100' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_qp_modify' + }, + '1351226' => { + 'Header' => undef, + 'Line' => '2307', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '11333' + }, + '1' => { + 'name' => 'attr', + 'type' => '1345948' + } + }, + 'Return' => '2944', + 'ShortName' => 'mlx5dv_open_device' + }, + '1351411' => { + 'Header' => undef, + 'Line' => '2301', + 'Param' => { + '0' => { + 'name' => 'device', + 'type' => '11333' + } + }, + 'Return' => '2091', + 'ShortName' => 'mlx5dv_is_supported' + }, + '1351901' => { + 'Header' => undef, + 'Line' => '2201', + 'Param' => { + '0' => { + 'name' => 'ibv_ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'type', + 'type' => '20939' + }, + '2' => { + 'name' => 'attr', + 'type' => '308' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_set_context_attr' + }, + '1352843' => { + 'Header' => undef, + 'Line' => '2115', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '29993' + }, + '1' => { + 'name' => 'obj_type', + 'type' => '2023' + } + }, + 'Return' => '159', + 'ShortName' => '__mlx5dv_init_obj_1_0' + }, + '1352901' => { + 'Alias' => '__mlx5dv_init_obj_1_2', + 'Header' => undef, + 'Line' => '2096', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '29993' + }, + '1' => { + 'name' => 'obj_type', + 'type' => '2023' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_init_obj' + }, + '1353889' => { + 'Header' => undef, + 'Line' => '2043', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'qpn', + 'type' => '2001' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_reserved_qpn_dealloc' + }, + '1354881' => { + 'Header' => undef, + 'Line' => '1990', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'qpn', + 'type' => '14267' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_reserved_qpn_alloc' + }, + '1357179' => { + 'Header' => undef, + 'Line' => '1848', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '5100' + }, + '1' => { + 'name' => 'requestor', + 'type' => '30748' + }, + '2' => { + 'name' => 'responder', + 'type' => '30748' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_modify_qp_sched_elem' + }, + '1360372' => { + 'Header' => undef, + 'Line' => '1721', + 'Param' => { + '0' => { + 'name' => 'leaf', + 'type' => '30623' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_sched_leaf_destroy' + }, + '1360585' => { + 'Header' => undef, + 'Line' => '1699', + 'Param' => { + '0' => { + 'name' => 'node', + 'type' => '21655' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_sched_node_destroy' + }, + '1360798' => { + 'Header' => undef, + 'Line' => '1676', + 'Param' => { + '0' => { + 'name' => 'leaf', + 'type' => '30623' + }, + '1' => { + 'name' => 'attr', + 'type' => '30593' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_sched_leaf_modify' + }, + '1360975' => { + 'Header' => undef, + 'Line' => '1648', + 'Param' => { + '0' => { + 'name' => 'node', + 'type' => '21655' + }, + '1' => { + 'name' => 'attr', + 'type' => '30593' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_sched_node_modify' + }, + '1361152' => { + 'Header' => undef, + 'Line' => '1618', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'attr', + 'type' => '30593' + } + }, + 'Return' => '30623', + 'ShortName' => 'mlx5dv_sched_leaf_create' + }, + '1361760' => { + 'Header' => undef, + 'Line' => '1567', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'attr', + 'type' => '30593' + } + }, + 'Return' => '21655', + 'ShortName' => 'mlx5dv_sched_node_create' + }, + '1365147' => { + 'Header' => undef, + 'Line' => '1375', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '5100' + }, + '1' => { + 'name' => 'stream_id', + 'type' => '1989' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dci_stream_id_reset' + }, + '1366127' => { + 'Header' => undef, + 'Line' => '1365', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '5100' + }, + '1' => { + 'name' => 'udp_sport', + 'type' => '1989' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_modify_qp_udp_sport' + }, + '1367006' => { + 'Header' => undef, + 'Line' => '1326', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '5100' + }, + '1' => { + 'name' => 'port_num', + 'type' => '1977' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_modify_qp_lag_port' + }, + '1368132' => { + 'Header' => undef, + 'Line' => '1248', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '5100' + }, + '1' => { + 'name' => 'port_num', + 'type' => '7307' + }, + '2' => { + 'name' => 'active_port_num', + 'type' => '7307' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_query_qp_lag_port' + }, + '1370954' => { + 'Header' => undef, + 'Line' => '979', + 'Param' => { + '0' => { + 'name' => 'ctx_in', + 'type' => '2944' + }, + '1' => { + 'name' => 'attrs_out', + 'type' => '30483' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_query_device' + }, + '1442940' => { + 'Header' => undef, + 'Line' => '3566', + 'Param' => { + '0' => { + 'name' => 'attr', + 'type' => '1443593' + } + }, + 'Return' => '1443588', + 'ShortName' => 'mlx5dv_get_vfio_device_list' + }, + '1443608' => { + 'Header' => undef, + 'Line' => '3546', + 'Param' => { + '0' => { + 'name' => 'ibctx', + 'type' => '2944' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_vfio_process_events' + }, + '1447268' => { + 'Header' => undef, + 'Line' => '3539', + 'Param' => { + '0' => { + 'name' => 'ibctx', + 'type' => '2944' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_vfio_get_events_fd' + }, + '1565828' => { + 'Header' => undef, + 'Line' => '4240', + 'Param' => { + '0' => { + 'name' => 'dv_qp', + 'type' => '17739' + }, + '1' => { + 'name' => 'wr_id', + 'type' => '2023' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_qp_cancel_posted_send_wrs' + }, + '1752116' => { + 'Header' => undef, + 'Line' => '7780', + 'Param' => { + '0' => { + 'name' => 'dveq', + 'type' => '31013' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_destroy_eq' + }, + '1752245' => { + 'Header' => undef, + 'Line' => '7767', + 'Param' => { + '0' => { + 'name' => 'ibctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '31013', + 'ShortName' => 'mlx5dv_devx_create_eq' + }, + '1752485' => { + 'Header' => undef, + 'Line' => '7754', + 'Param' => { + '0' => { + 'name' => 'dvmsi', + 'type' => '30948' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_free_msi_vector' + }, + '1752615' => { + 'Header' => undef, + 'Line' => '7742', + 'Param' => { + '0' => { + 'name' => 'ibctx', + 'type' => '2944' + } + }, + 'Return' => '30948', + 'ShortName' => 'mlx5dv_devx_alloc_msi_vector' + }, + '1752748' => { + 'Header' => undef, + 'Line' => '7730', + 'Param' => { + '0' => { + 'name' => 'dv_pp', + 'type' => '29947' + } + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_pp_free' + }, + '1753316' => { + 'Header' => undef, + 'Line' => '7697', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'pp_context_sz', + 'type' => '419' + }, + '2' => { + 'name' => 'pp_context', + 'type' => '1961' + }, + '3' => { + 'name' => 'flags', + 'type' => '2001' + } + }, + 'Return' => '29947', + 'ShortName' => 'mlx5dv_pp_alloc' + }, + '1754965' => { + 'Header' => undef, + 'Line' => '7638', + 'Param' => { + '0' => { + 'name' => 'dv_var', + 'type' => '29891' + } + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_free_var' + }, + '1755533' => { + 'Header' => undef, + 'Line' => '7609', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'flags', + 'type' => '2001' + } + }, + 'Return' => '29891', + 'ShortName' => 'mlx5dv_alloc_var' + }, + '1757042' => { + 'Header' => undef, + 'Line' => '7552', + 'Param' => { + '0' => { + 'name' => 'dek', + 'type' => '17470' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dek_destroy' + }, + '1757255' => { + 'Header' => undef, + 'Line' => '7528', + 'Param' => { + '0' => { + 'name' => 'dek', + 'type' => '17470' + }, + '1' => { + 'name' => 'dek_attr', + 'type' => '29841' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dek_query' + }, + '1758112' => { + 'Header' => undef, + 'Line' => '7475', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'init_attr', + 'type' => '29811' + } + }, + 'Return' => '17470', + 'ShortName' => 'mlx5dv_dek_create' + }, + '1759667' => { + 'Header' => undef, + 'Line' => '7342', + 'Param' => { + '0' => { + 'name' => 'crypto_login', + 'type' => '18586' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_crypto_login_destroy' + }, + '1759880' => { + 'Header' => undef, + 'Line' => '7317', + 'Param' => { + '0' => { + 'name' => 'crypto_login', + 'type' => '18586' + }, + '1' => { + 'name' => 'query_attr', + 'type' => '29761' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_crypto_login_query' + }, + '1760057' => { + 'Header' => undef, + 'Line' => '7293', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'login_attr', + 'type' => '29731' + } + }, + 'Return' => '18586', + 'ShortName' => 'mlx5dv_crypto_login_create' + }, + '1760429' => { + 'Header' => undef, + 'Line' => '7253', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_crypto_logout' + }, + '1760736' => { + 'Header' => undef, + 'Line' => '7220', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'state', + 'type' => '29681' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_crypto_login_query_state' + }, + '1761102' => { + 'Header' => undef, + 'Line' => '7183', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'login_attr', + 'type' => '29651' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_crypto_login' + }, + '1762774' => { + 'Header' => undef, + 'Line' => '6997', + 'Param' => { + '0' => { + 'name' => 'dv_mkey', + 'type' => '17785' + }, + '1' => { + 'name' => 'err_info', + 'type' => '1763045' + }, + '2' => { + 'name' => 'err_info_size', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => '_mlx5dv_mkey_check' + }, + '1763131' => { + 'Header' => undef, + 'Line' => '6936', + 'Param' => { + '0' => { + 'name' => 'dv_mkey', + 'type' => '17785' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_destroy_mkey' + }, + '1763653' => { + 'Header' => undef, + 'Line' => '6897', + 'Param' => { + '0' => { + 'name' => 'mkey_init_attr', + 'type' => '29601' + } + }, + 'Return' => '17785', + 'ShortName' => 'mlx5dv_create_mkey' + }, + '1767211' => { + 'Header' => undef, + 'Line' => '6772', + 'Param' => { + '0' => { + 'name' => 'event_channel', + 'type' => '29229' + }, + '1' => { + 'name' => 'event_data', + 'type' => '29440' + }, + '2' => { + 'name' => 'event_resp_len', + 'type' => '419' + } + }, + 'Return' => '1915', + 'ShortName' => 'mlx5dv_devx_get_event' + }, + '1767811' => { + 'Header' => undef, + 'Line' => '6658', + 'Param' => { + '0' => { + 'name' => 'cmd_comp', + 'type' => '29183' + }, + '1' => { + 'name' => 'cmd_resp', + 'type' => '29405' + }, + '2' => { + 'name' => 'cmd_resp_len', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_get_async_cmd_comp' + }, + '1768167' => { + 'Header' => undef, + 'Line' => '6628', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '19197' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'outlen', + 'type' => '419' + }, + '4' => { + 'name' => 'wr_id', + 'type' => '2023' + }, + '5' => { + 'name' => 'cmd_comp', + 'type' => '29183' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_obj_query_async' + }, + '1769726' => { + 'Header' => undef, + 'Line' => '6592', + 'Param' => { + '0' => { + 'name' => 'dv_event_channel', + 'type' => '29229' + }, + '1' => { + 'name' => 'fd', + 'type' => '159' + }, + '2' => { + 'name' => 'obj', + 'type' => '19197' + }, + '3' => { + 'name' => 'event_num', + 'type' => '1989' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_subscribe_devx_event_fd' + }, + '1771013' => { + 'Header' => undef, + 'Line' => '6550', + 'Param' => { + '0' => { + 'name' => 'dv_event_channel', + 'type' => '29229' + }, + '1' => { + 'name' => 'obj', + 'type' => '19197' + }, + '2' => { + 'name' => 'events_sz', + 'type' => '1989' + }, + '3' => { + 'name' => 'events_num', + 'type' => '29290' + }, + '4' => { + 'name' => 'cookie', + 'type' => '2023' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_subscribe_devx_event' + }, + '1772386' => { + 'Header' => undef, + 'Line' => '6511', + 'Param' => { + '0' => { + 'name' => 'dv_event_channel', + 'type' => '29229' + } + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_devx_destroy_event_channel' + }, + '1772612' => { + 'Header' => undef, + 'Line' => '6487', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'flags', + 'type' => '15461' + } + }, + 'Return' => '29229', + 'ShortName' => 'mlx5dv_devx_create_event_channel' + }, + '1773609' => { + 'Header' => undef, + 'Line' => '6443', + 'Param' => { + '0' => { + 'name' => 'cmd_comp', + 'type' => '29183' + } + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_devx_destroy_cmd_comp' + }, + '1773758' => { + 'Header' => undef, + 'Line' => '6424', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + } + }, + 'Return' => '29183', + 'ShortName' => 'mlx5dv_devx_create_cmd_comp' + }, + '1774495' => { + 'Header' => undef, + 'Line' => '6378', + 'Param' => { + '0' => { + 'name' => 'ind_tbl', + 'type' => '7549' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_ind_tbl_modify' + }, + '1775751' => { + 'Header' => undef, + 'Line' => '6351', + 'Param' => { + '0' => { + 'name' => 'ind_tbl', + 'type' => '7549' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_ind_tbl_query' + }, + '1777007' => { + 'Header' => undef, + 'Line' => '6323', + 'Param' => { + '0' => { + 'name' => 'wq', + 'type' => '5415' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_wq_modify' + }, + '1778261' => { + 'Header' => undef, + 'Line' => '6297', + 'Param' => { + '0' => { + 'name' => 'wq', + 'type' => '5415' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_wq_query' + }, + '1779515' => { + 'Header' => undef, + 'Line' => '6271', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '5216' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_srq_modify' + }, + '1780771' => { + 'Header' => undef, + 'Line' => '6245', + 'Param' => { + '0' => { + 'name' => 'srq', + 'type' => '5216' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_srq_query' + }, + '1784985' => { + 'Header' => undef, + 'Line' => '6136', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '4900' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_cq_modify' + }, + '1786239' => { + 'Header' => undef, + 'Line' => '6110', + 'Param' => { + '0' => { + 'name' => 'cq', + 'type' => '4900' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_cq_query' + }, + '1787493' => { + 'Header' => undef, + 'Line' => '6084', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'vector', + 'type' => '2001' + }, + '2' => { + 'name' => 'eqn', + 'type' => '14267' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_query_eqn' + }, + '1800542' => { + 'Header' => undef, + 'Line' => '5651', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'umem_in', + 'type' => '29556' + } + }, + 'Return' => '29526', + 'ShortName' => 'mlx5dv_devx_umem_reg_ex' + }, + '1804792' => { + 'Header' => undef, + 'Line' => '5456', + 'Param' => { + '0' => { + 'name' => 'flow_matcher', + 'type' => '30318' + }, + '1' => { + 'name' => 'match_value', + 'type' => '18858' + }, + '2' => { + 'name' => 'num_actions', + 'type' => '419' + }, + '3' => { + 'name' => 'actions_attr', + 'type' => '30388' + } + }, + 'Return' => '13487', + 'ShortName' => 'mlx5dv_create_flow' + }, + '1816733' => { + 'Header' => undef, + 'Line' => '4873', + 'Param' => { + '0' => { + 'name' => 'dm', + 'type' => '2979' + }, + '1' => { + 'name' => 'op', + 'type' => '1977' + } + }, + 'Return' => '308', + 'ShortName' => 'mlx5dv_dm_map_op_addr' + }, + '1822486' => { + 'Header' => undef, + 'Line' => '4614', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'esp', + 'type' => '13662' + }, + '2' => { + 'name' => 'mlx5_attr', + 'type' => '30213' + } + }, + 'Return' => '13657', + 'ShortName' => 'mlx5dv_create_flow_action_esp' + }, + '1826987' => { + 'Header' => undef, + 'Line' => '4295', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'attr', + 'type' => '14012' + }, + '2' => { + 'name' => 'mlx5_wq_attr', + 'type' => '30118' + } + }, + 'Return' => '5415', + 'ShortName' => 'mlx5dv_create_wq' + }, + '1837588' => { + 'Header' => undef, + 'Line' => '3371', + 'Param' => { + '0' => { + 'name' => 'qp', + 'type' => '9471' + } + }, + 'Return' => '17739', + 'ShortName' => 'mlx5dv_qp_ex_from_ibv_qp_ex' + }, + '1837636' => { + 'Header' => undef, + 'Line' => '3357', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'qp_attr', + 'type' => '14237' + }, + '2' => { + 'name' => 'mlx5_qp_attr', + 'type' => '30063' + } + }, + 'Return' => '5100', + 'ShortName' => 'mlx5dv_create_qp' + }, + '1838327' => { + 'Header' => undef, + 'Line' => '3324', + 'Param' => { + '0' => { + 'name' => 'ah', + 'type' => '8231' + }, + '1' => { + 'name' => 'qp_num', + 'type' => '2001' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_map_ah_to_qp' + }, + '1860544' => { + 'Header' => undef, + 'Line' => '1210', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'cq_attr', + 'type' => '14072' + }, + '2' => { + 'name' => 'mlx5_cq_attr', + 'type' => '30028' + } + }, + 'Return' => '10525', + 'ShortName' => 'mlx5dv_create_cq' + }, + '306379' => { + 'Header' => undef, + 'Line' => '1631', + 'Param' => { + '0' => { + 'name' => 'rule', + 'type' => '303529' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_rule_destroy' + }, + '306468' => { + 'Header' => undef, + 'Line' => '222', + 'Param' => { + '0' => { + 'name' => 'tbl', + 'type' => '282613' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_table_destroy' + }, + '306491' => { + 'Header' => undef, + 'Line' => '1579', + 'Param' => { + '0' => { + 'name' => 'matcher', + 'type' => '303448' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_matcher_destroy' + }, + '306514' => { + 'Header' => undef, + 'Line' => '1611', + 'Param' => { + '0' => { + 'name' => 'matcher', + 'type' => '303448' + }, + '1' => { + 'name' => 'value', + 'type' => '18858' + }, + '2' => { + 'name' => 'num_actions', + 'type' => '419' + }, + '3' => { + 'name' => 'actions', + 'type' => '282750' + } + }, + 'Return' => '303529', + 'ShortName' => 'mlx5dv_dr_rule_create' + }, + '306552' => { + 'Header' => undef, + 'Line' => '1466', + 'Param' => { + '0' => { + 'name' => 'tbl', + 'type' => '282613' + }, + '1' => { + 'name' => 'priority', + 'type' => '1989' + }, + '2' => { + 'name' => 'match_criteria_enable', + 'type' => '1977' + }, + '3' => { + 'name' => 'mask', + 'type' => '18858' + } + }, + 'Return' => '303448', + 'ShortName' => 'mlx5dv_dr_matcher_create' + }, + '306590' => { + 'Header' => undef, + 'Line' => '168', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'level', + 'type' => '2001' + } + }, + 'Return' => '282613', + 'ShortName' => 'mlx5dv_dr_table_create' + }, + '306674' => { + 'Header' => undef, + 'Line' => '5892', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '19197' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_obj_destroy' + }, + '306971' => { + 'Header' => undef, + 'Line' => '4680', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'actions_sz', + 'type' => '419' + }, + '2' => { + 'name' => 'actions', + 'type' => '13447' + }, + '3' => { + 'name' => 'ft_type', + 'type' => '15276' + } + }, + 'Return' => '13657', + 'ShortName' => 'mlx5dv_create_flow_action_modify_header' + }, + '307118' => { + 'Header' => undef, + 'Line' => '4748', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'data_sz', + 'type' => '419' + }, + '2' => { + 'name' => 'data', + 'type' => '308' + }, + '3' => { + 'name' => 'reformat_type', + 'type' => '15324' + }, + '4' => { + 'name' => 'ft_type', + 'type' => '15276' + } + }, + 'Return' => '13657', + 'ShortName' => 'mlx5dv_create_flow_action_packet_reformat' + }, + '307271' => { + 'Header' => undef, + 'Line' => '5550', + 'Param' => { + '0' => { + 'name' => 'sa', + 'type' => '30423' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_destroy_steering_anchor' + }, + '307313' => { + 'Header' => undef, + 'Line' => '5537', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'attr', + 'type' => '30428' + } + }, + 'Return' => '30423', + 'ShortName' => 'mlx5dv_create_steering_anchor' + }, + '307553' => { + 'Header' => undef, + 'Line' => '2991', + 'Param' => { + '0' => { + 'name' => 'action', + 'type' => '282755' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_action_destroy' + }, + '308030' => { + 'Header' => undef, + 'Line' => '2959', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'num_dest', + 'type' => '419' + }, + '2' => { + 'name' => 'dests', + 'type' => '309471' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_dest_array' + }, + '310119' => { + 'Header' => undef, + 'Line' => '2749', + 'Param' => { + '0' => { + 'name' => 'attr', + 'type' => '312192' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_flow_sampler' + }, + '313371' => { + 'Header' => undef, + 'Line' => '2364', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'ib_port', + 'type' => '2001' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_dest_ib_port' + }, + '313750' => { + 'Header' => undef, + 'Line' => '2328', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'vport', + 'type' => '2001' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_dest_vport' + }, + '314129' => { + 'Header' => undef, + 'Line' => '2281', + 'Param' => { + '0' => { + 'name' => 'attr', + 'type' => '306758' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_flow_meter' + }, + '314665' => { + 'Header' => undef, + 'Line' => '2264', + 'Param' => { + '0' => { + 'name' => 'action', + 'type' => '282755' + }, + '1' => { + 'name' => 'attr', + 'type' => '306758' + }, + '2' => { + 'name' => 'modify_field_select', + 'type' => '2215' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_action_modify_flow_meter' + }, + '314799' => { + 'Header' => undef, + 'Line' => '2201', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'flags', + 'type' => '2001' + }, + '2' => { + 'name' => 'actions_sz', + 'type' => '419' + }, + '3' => { + 'name' => 'actions', + 'type' => '306845' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_modify_header' + }, + '319355' => { + 'Header' => undef, + 'Line' => '1702', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'vlan_hdr', + 'type' => '2203' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_push_vlan' + }, + '319749' => { + 'Header' => undef, + 'Line' => '1697', + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_pop_vlan' + }, + '319987' => { + 'Header' => undef, + 'Line' => '1632', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'flags', + 'type' => '2001' + }, + '2' => { + 'name' => 'reformat_type', + 'type' => '15324' + }, + '3' => { + 'name' => 'data_sz', + 'type' => '419' + }, + '4' => { + 'name' => 'data', + 'type' => '308' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_packet_reformat' + }, + '321401' => { + 'Header' => undef, + 'Line' => '1481', + 'Param' => { + '0' => { + 'name' => 'tag_value', + 'type' => '2001' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_tag' + }, + '321679' => { + 'Header' => undef, + 'Line' => '1462', + 'Param' => { + '0' => { + 'name' => 'action', + 'type' => '282755' + }, + '1' => { + 'name' => 'offset', + 'type' => '2001' + }, + '2' => { + 'name' => 'flags', + 'type' => '2001' + }, + '3' => { + 'name' => 'return_reg_c', + 'type' => '1977' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_action_modify_aso' + }, + '322094' => { + 'Header' => undef, + 'Line' => '1371', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'devx_obj', + 'type' => '19197' + }, + '2' => { + 'name' => 'offset', + 'type' => '2001' + }, + '3' => { + 'name' => 'flags', + 'type' => '2001' + }, + '4' => { + 'name' => 'return_reg_c', + 'type' => '1977' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_aso' + }, + '323376' => { + 'Header' => undef, + 'Line' => '1238', + 'Param' => { + '0' => { + 'name' => 'devx_obj', + 'type' => '19197' + }, + '1' => { + 'name' => 'offset', + 'type' => '2001' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_flow_counter' + }, + '323690' => { + 'Header' => undef, + 'Line' => '1185', + 'Param' => { + '0' => { + 'name' => 'tbl', + 'type' => '282613' + }, + '1' => { + 'name' => 'priority', + 'type' => '1989' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_dest_root_table' + }, + '324577' => { + 'Header' => undef, + 'Line' => '1106', + 'Param' => { + '0' => { + 'name' => 'tbl', + 'type' => '282613' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_dest_table' + }, + '324938' => { + 'Header' => undef, + 'Line' => '1088', + 'Param' => { + '0' => { + 'name' => 'devx_obj', + 'type' => '19197' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_dest_devx_tir' + }, + '325232' => { + 'Header' => undef, + 'Line' => '1068', + 'Param' => { + '0' => { + 'name' => 'ibqp', + 'type' => '5100' + } + }, + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_dest_ibv_qp' + }, + '325526' => { + 'Header' => undef, + 'Line' => '1062', + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_default_miss' + }, + '325764' => { + 'Header' => undef, + 'Line' => '1057', + 'Return' => '282755', + 'ShortName' => 'mlx5dv_dr_action_create_drop' + }, + '364859' => { + 'Header' => undef, + 'Line' => '878', + 'Param' => { + '0' => { + 'name' => 'fout', + 'type' => '1927' + }, + '1' => { + 'name' => 'rule', + 'type' => '303529' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dump_dr_rule' + }, + '365498' => { + 'Header' => undef, + 'Line' => '853', + 'Param' => { + '0' => { + 'name' => 'fout', + 'type' => '1927' + }, + '1' => { + 'name' => 'matcher', + 'type' => '303448' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dump_dr_matcher' + }, + '366113' => { + 'Header' => undef, + 'Line' => '832', + 'Param' => { + '0' => { + 'name' => 'fout', + 'type' => '1927' + }, + '1' => { + 'name' => 'tbl', + 'type' => '282613' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dump_dr_table' + }, + '366704' => { + 'Header' => undef, + 'Line' => '814', + 'Param' => { + '0' => { + 'name' => 'fout', + 'type' => '1927' + }, + '1' => { + 'name' => 'dmn', + 'type' => '297523' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dump_dr_domain' + }, + '436855' => { + 'Header' => undef, + 'Line' => '5864', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '19197' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_obj_modify' + }, + '436921' => { + 'Header' => undef, + 'Line' => '5838', + 'Param' => { + '0' => { + 'name' => 'obj', + 'type' => '19197' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_obj_query' + }, + '436964' => { + 'Header' => undef, + 'Line' => '5809', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '19197', + 'ShortName' => 'mlx5dv_devx_obj_create' + }, + '437099' => { + 'Header' => undef, + 'Line' => '5916', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'in', + 'type' => '1961' + }, + '2' => { + 'name' => 'inlen', + 'type' => '419' + }, + '3' => { + 'name' => 'out', + 'type' => '308' + }, + '4' => { + 'name' => 'outlen', + 'type' => '419' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_devx_general_cmd' + }, + '504834' => { + 'Header' => undef, + 'Line' => '5055', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'dm_attr', + 'type' => '13607' + }, + '2' => { + 'name' => 'mlx5_dm_attr', + 'type' => '30153' + } + }, + 'Return' => '2979', + 'ShortName' => 'mlx5dv_alloc_dm' + }, + '551935' => { + 'Header' => undef, + 'Line' => '5299', + 'Param' => { + '0' => { + 'name' => 'flow_matcher', + 'type' => '30318' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_destroy_flow_matcher' + }, + '553949' => { + 'Header' => undef, + 'Line' => '5268', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'attr', + 'type' => '30323' + } + }, + 'Return' => '30318', + 'ShortName' => 'mlx5dv_create_flow_matcher' + }, + '558304' => { + 'Header' => undef, + 'Line' => '1416', + 'Param' => { + '0' => { + 'name' => 'matcher', + 'type' => '303448' + }, + '1' => { + 'name' => 'matcher_layout', + 'type' => '558583' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_matcher_set_layout' + }, + '610146' => { + 'Header' => undef, + 'Line' => '6058', + 'Param' => { + '0' => { + 'name' => 'dv_devx_uar', + 'type' => '29470' + } + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_devx_free_uar' + }, + '610319' => { + 'Header' => undef, + 'Line' => '6035', + 'Param' => { + '0' => { + 'name' => 'context', + 'type' => '2944' + }, + '1' => { + 'name' => 'flags', + 'type' => '2001' + } + }, + 'Return' => '29470', + 'ShortName' => 'mlx5dv_devx_alloc_uar' + }, + '610893' => { + 'Header' => undef, + 'Line' => '593', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_domain_destroy' + }, + '611538' => { + 'Header' => undef, + 'Line' => '582', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'allow', + 'type' => '2091' + } + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_dr_domain_allow_duplicate_rules' + }, + '611952' => { + 'Header' => undef, + 'Line' => '571', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'enable', + 'type' => '2091' + } + }, + 'Return' => '1', + 'ShortName' => 'mlx5dv_dr_domain_set_reclaim_device_memory' + }, + '612366' => { + 'Header' => undef, + 'Line' => '1965', + 'Param' => { + '0' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '1' => { + 'name' => 'flags', + 'type' => '2001' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_domain_sync' + }, + '612539' => { + 'Header' => undef, + 'Line' => '453', + 'Param' => { + '0' => { + 'name' => 'ctx', + 'type' => '2944' + }, + '1' => { + 'name' => 'type', + 'type' => '282356' + } + }, + 'Return' => '297523', + 'ShortName' => 'mlx5dv_dr_domain_create' + }, + '725751' => { + 'Header' => undef, + 'Line' => '1759', + 'Param' => { + '0' => { + 'name' => 'devx_obj', + 'type' => '19197' + }, + '1' => { + 'name' => 'dmn', + 'type' => '297523' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_aso_other_domain_unlink' + }, + '725880' => { + 'Header' => undef, + 'Line' => '1738', + 'Param' => { + '0' => { + 'name' => 'devx_obj', + 'type' => '19197' + }, + '1' => { + 'name' => 'peer_dmn', + 'type' => '297523' + }, + '2' => { + 'name' => 'dmn', + 'type' => '297523' + }, + '3' => { + 'name' => 'flags', + 'type' => '2001' + }, + '4' => { + 'name' => 'return_reg_c', + 'type' => '1977' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_dr_aso_other_domain_link' + }, + '75990' => { + 'Header' => undef, + 'Line' => '2251', + 'Param' => { + '0' => { + 'name' => 'ctx_in', + 'type' => '2944' + }, + '1' => { + 'name' => 'clock_info', + 'type' => '30858' + } + }, + 'Return' => '159', + 'ShortName' => 'mlx5dv_get_clock_info' + } + }, + 'SymbolVersion' => { + '__mlx5dv_init_obj_1_0' => 'mlx5dv_init_obj@MLX5_1.0', + '__mlx5dv_init_obj_1_2' => 'mlx5dv_init_obj@@MLX5_1.2', + '_mlx5dv_mkey_check' => '_mlx5dv_mkey_check@@MLX5_1.20', + '_mlx5dv_query_port' => '_mlx5dv_query_port@@MLX5_1.19', + 'mlx5dv_alloc_dm' => 'mlx5dv_alloc_dm@@MLX5_1.10', + 'mlx5dv_alloc_var' => 'mlx5dv_alloc_var@@MLX5_1.12', + 'mlx5dv_create_cq' => 'mlx5dv_create_cq@@MLX5_1.1', + 'mlx5dv_create_flow' => 'mlx5dv_create_flow@@MLX5_1.6', + 'mlx5dv_create_flow_action_esp' => 'mlx5dv_create_flow_action_esp@@MLX5_1.5', + 'mlx5dv_create_flow_action_modify_header' => 'mlx5dv_create_flow_action_modify_header@@MLX5_1.7', + 'mlx5dv_create_flow_action_packet_reformat' => 'mlx5dv_create_flow_action_packet_reformat@@MLX5_1.7', + 'mlx5dv_create_flow_matcher' => 'mlx5dv_create_flow_matcher@@MLX5_1.6', + 'mlx5dv_create_mkey' => 'mlx5dv_create_mkey@@MLX5_1.10', + 'mlx5dv_create_qp' => 'mlx5dv_create_qp@@MLX5_1.3', + 'mlx5dv_create_steering_anchor' => 'mlx5dv_create_steering_anchor@@MLX5_1.24', + 'mlx5dv_create_wq' => 'mlx5dv_create_wq@@MLX5_1.3', + 'mlx5dv_crypto_login' => 'mlx5dv_crypto_login@@MLX5_1.21', + 'mlx5dv_crypto_login_create' => 'mlx5dv_crypto_login_create@@MLX5_1.24', + 'mlx5dv_crypto_login_destroy' => 'mlx5dv_crypto_login_destroy@@MLX5_1.24', + 'mlx5dv_crypto_login_query' => 'mlx5dv_crypto_login_query@@MLX5_1.24', + 'mlx5dv_crypto_login_query_state' => 'mlx5dv_crypto_login_query_state@@MLX5_1.21', + 'mlx5dv_crypto_logout' => 'mlx5dv_crypto_logout@@MLX5_1.21', + 'mlx5dv_dci_stream_id_reset' => 'mlx5dv_dci_stream_id_reset@@MLX5_1.21', + 'mlx5dv_dek_create' => 'mlx5dv_dek_create@@MLX5_1.21', + 'mlx5dv_dek_destroy' => 'mlx5dv_dek_destroy@@MLX5_1.21', + 'mlx5dv_dek_query' => 'mlx5dv_dek_query@@MLX5_1.21', + 'mlx5dv_destroy_flow_matcher' => 'mlx5dv_destroy_flow_matcher@@MLX5_1.6', + 'mlx5dv_destroy_mkey' => 'mlx5dv_destroy_mkey@@MLX5_1.10', + 'mlx5dv_destroy_steering_anchor' => 'mlx5dv_destroy_steering_anchor@@MLX5_1.24', + 'mlx5dv_devx_alloc_msi_vector' => 'mlx5dv_devx_alloc_msi_vector@@MLX5_1.23', + 'mlx5dv_devx_alloc_uar' => 'mlx5dv_devx_alloc_uar@@MLX5_1.7', + 'mlx5dv_devx_cq_modify' => 'mlx5dv_devx_cq_modify@@MLX5_1.8', + 'mlx5dv_devx_cq_query' => 'mlx5dv_devx_cq_query@@MLX5_1.8', + 'mlx5dv_devx_create_cmd_comp' => 'mlx5dv_devx_create_cmd_comp@@MLX5_1.9', + 'mlx5dv_devx_create_eq' => 'mlx5dv_devx_create_eq@@MLX5_1.23', + 'mlx5dv_devx_create_event_channel' => 'mlx5dv_devx_create_event_channel@@MLX5_1.11', + 'mlx5dv_devx_destroy_cmd_comp' => 'mlx5dv_devx_destroy_cmd_comp@@MLX5_1.9', + 'mlx5dv_devx_destroy_eq' => 'mlx5dv_devx_destroy_eq@@MLX5_1.23', + 'mlx5dv_devx_destroy_event_channel' => 'mlx5dv_devx_destroy_event_channel@@MLX5_1.11', + 'mlx5dv_devx_free_msi_vector' => 'mlx5dv_devx_free_msi_vector@@MLX5_1.23', + 'mlx5dv_devx_free_uar' => 'mlx5dv_devx_free_uar@@MLX5_1.7', + 'mlx5dv_devx_general_cmd' => 'mlx5dv_devx_general_cmd@@MLX5_1.7', + 'mlx5dv_devx_get_async_cmd_comp' => 'mlx5dv_devx_get_async_cmd_comp@@MLX5_1.9', + 'mlx5dv_devx_get_event' => 'mlx5dv_devx_get_event@@MLX5_1.11', + 'mlx5dv_devx_ind_tbl_modify' => 'mlx5dv_devx_ind_tbl_modify@@MLX5_1.8', + 'mlx5dv_devx_ind_tbl_query' => 'mlx5dv_devx_ind_tbl_query@@MLX5_1.8', + 'mlx5dv_devx_obj_create' => 'mlx5dv_devx_obj_create@@MLX5_1.7', + 'mlx5dv_devx_obj_destroy' => 'mlx5dv_devx_obj_destroy@@MLX5_1.7', + 'mlx5dv_devx_obj_modify' => 'mlx5dv_devx_obj_modify@@MLX5_1.7', + 'mlx5dv_devx_obj_query' => 'mlx5dv_devx_obj_query@@MLX5_1.7', + 'mlx5dv_devx_obj_query_async' => 'mlx5dv_devx_obj_query_async@@MLX5_1.9', + 'mlx5dv_devx_qp_modify' => 'mlx5dv_devx_qp_modify@@MLX5_1.8', + 'mlx5dv_devx_qp_query' => 'mlx5dv_devx_qp_query@@MLX5_1.8', + 'mlx5dv_devx_query_eqn' => 'mlx5dv_devx_query_eqn@@MLX5_1.7', + 'mlx5dv_devx_srq_modify' => 'mlx5dv_devx_srq_modify@@MLX5_1.8', + 'mlx5dv_devx_srq_query' => 'mlx5dv_devx_srq_query@@MLX5_1.8', + 'mlx5dv_devx_subscribe_devx_event' => 'mlx5dv_devx_subscribe_devx_event@@MLX5_1.11', + 'mlx5dv_devx_subscribe_devx_event_fd' => 'mlx5dv_devx_subscribe_devx_event_fd@@MLX5_1.11', + 'mlx5dv_devx_umem_dereg' => 'mlx5dv_devx_umem_dereg@@MLX5_1.7', + 'mlx5dv_devx_umem_reg' => 'mlx5dv_devx_umem_reg@@MLX5_1.7', + 'mlx5dv_devx_umem_reg_ex' => 'mlx5dv_devx_umem_reg_ex@@MLX5_1.19', + 'mlx5dv_devx_wq_modify' => 'mlx5dv_devx_wq_modify@@MLX5_1.8', + 'mlx5dv_devx_wq_query' => 'mlx5dv_devx_wq_query@@MLX5_1.8', + 'mlx5dv_dm_map_op_addr' => 'mlx5dv_dm_map_op_addr@@MLX5_1.19', + 'mlx5dv_dr_action_create_aso' => 'mlx5dv_dr_action_create_aso@@MLX5_1.17', + 'mlx5dv_dr_action_create_default_miss' => 'mlx5dv_dr_action_create_default_miss@@MLX5_1.14', + 'mlx5dv_dr_action_create_dest_array' => 'mlx5dv_dr_action_create_dest_array@@MLX5_1.16', + 'mlx5dv_dr_action_create_dest_devx_tir' => 'mlx5dv_dr_action_create_dest_devx_tir@@MLX5_1.15', + 'mlx5dv_dr_action_create_dest_ib_port' => 'mlx5dv_dr_action_create_dest_ib_port@@MLX5_1.21', + 'mlx5dv_dr_action_create_dest_ibv_qp' => 'mlx5dv_dr_action_create_dest_ibv_qp@@MLX5_1.10', + 'mlx5dv_dr_action_create_dest_root_table' => 'mlx5dv_dr_action_create_dest_root_table@@MLX5_1.24', + 'mlx5dv_dr_action_create_dest_table' => 'mlx5dv_dr_action_create_dest_table@@MLX5_1.10', + 'mlx5dv_dr_action_create_dest_vport' => 'mlx5dv_dr_action_create_dest_vport@@MLX5_1.10', + 'mlx5dv_dr_action_create_drop' => 'mlx5dv_dr_action_create_drop@@MLX5_1.10', + 'mlx5dv_dr_action_create_flow_counter' => 'mlx5dv_dr_action_create_flow_counter@@MLX5_1.10', + 'mlx5dv_dr_action_create_flow_meter' => 'mlx5dv_dr_action_create_flow_meter@@MLX5_1.12', + 'mlx5dv_dr_action_create_flow_sampler' => 'mlx5dv_dr_action_create_flow_sampler@@MLX5_1.16', + 'mlx5dv_dr_action_create_modify_header' => 'mlx5dv_dr_action_create_modify_header@@MLX5_1.10', + 'mlx5dv_dr_action_create_packet_reformat' => 'mlx5dv_dr_action_create_packet_reformat@@MLX5_1.10', + 'mlx5dv_dr_action_create_pop_vlan' => 'mlx5dv_dr_action_create_pop_vlan@@MLX5_1.17', + 'mlx5dv_dr_action_create_push_vlan' => 'mlx5dv_dr_action_create_push_vlan@@MLX5_1.17', + 'mlx5dv_dr_action_create_tag' => 'mlx5dv_dr_action_create_tag@@MLX5_1.10', + 'mlx5dv_dr_action_destroy' => 'mlx5dv_dr_action_destroy@@MLX5_1.10', + 'mlx5dv_dr_action_modify_aso' => 'mlx5dv_dr_action_modify_aso@@MLX5_1.17', + 'mlx5dv_dr_action_modify_flow_meter' => 'mlx5dv_dr_action_modify_flow_meter@@MLX5_1.12', + 'mlx5dv_dr_aso_other_domain_link' => 'mlx5dv_dr_aso_other_domain_link@@MLX5_1.22', + 'mlx5dv_dr_aso_other_domain_unlink' => 'mlx5dv_dr_aso_other_domain_unlink@@MLX5_1.22', + 'mlx5dv_dr_domain_allow_duplicate_rules' => 'mlx5dv_dr_domain_allow_duplicate_rules@@MLX5_1.20', + 'mlx5dv_dr_domain_create' => 'mlx5dv_dr_domain_create@@MLX5_1.10', + 'mlx5dv_dr_domain_destroy' => 'mlx5dv_dr_domain_destroy@@MLX5_1.10', + 'mlx5dv_dr_domain_set_reclaim_device_memory' => 'mlx5dv_dr_domain_set_reclaim_device_memory@@MLX5_1.14', + 'mlx5dv_dr_domain_sync' => 'mlx5dv_dr_domain_sync@@MLX5_1.10', + 'mlx5dv_dr_matcher_create' => 'mlx5dv_dr_matcher_create@@MLX5_1.10', + 'mlx5dv_dr_matcher_destroy' => 'mlx5dv_dr_matcher_destroy@@MLX5_1.10', + 'mlx5dv_dr_matcher_set_layout' => 'mlx5dv_dr_matcher_set_layout@@MLX5_1.21', + 'mlx5dv_dr_rule_create' => 'mlx5dv_dr_rule_create@@MLX5_1.10', + 'mlx5dv_dr_rule_destroy' => 'mlx5dv_dr_rule_destroy@@MLX5_1.10', + 'mlx5dv_dr_table_create' => 'mlx5dv_dr_table_create@@MLX5_1.10', + 'mlx5dv_dr_table_destroy' => 'mlx5dv_dr_table_destroy@@MLX5_1.10', + 'mlx5dv_dump_dr_domain' => 'mlx5dv_dump_dr_domain@@MLX5_1.12', + 'mlx5dv_dump_dr_matcher' => 'mlx5dv_dump_dr_matcher@@MLX5_1.12', + 'mlx5dv_dump_dr_rule' => 'mlx5dv_dump_dr_rule@@MLX5_1.12', + 'mlx5dv_dump_dr_table' => 'mlx5dv_dump_dr_table@@MLX5_1.12', + 'mlx5dv_free_var' => 'mlx5dv_free_var@@MLX5_1.12', + 'mlx5dv_get_clock_info' => 'mlx5dv_get_clock_info@@MLX5_1.4', + 'mlx5dv_get_vfio_device_list' => 'mlx5dv_get_vfio_device_list@@MLX5_1.21', + 'mlx5dv_is_supported' => 'mlx5dv_is_supported@@MLX5_1.8', + 'mlx5dv_map_ah_to_qp' => 'mlx5dv_map_ah_to_qp@@MLX5_1.20', + 'mlx5dv_modify_qp_lag_port' => 'mlx5dv_modify_qp_lag_port@@MLX5_1.14', + 'mlx5dv_modify_qp_sched_elem' => 'mlx5dv_modify_qp_sched_elem@@MLX5_1.17', + 'mlx5dv_modify_qp_udp_sport' => 'mlx5dv_modify_qp_udp_sport@@MLX5_1.17', + 'mlx5dv_open_device' => 'mlx5dv_open_device@@MLX5_1.7', + 'mlx5dv_pp_alloc' => 'mlx5dv_pp_alloc@@MLX5_1.13', + 'mlx5dv_pp_free' => 'mlx5dv_pp_free@@MLX5_1.13', + 'mlx5dv_qp_cancel_posted_send_wrs' => 'mlx5dv_qp_cancel_posted_send_wrs@@MLX5_1.20', + 'mlx5dv_qp_ex_from_ibv_qp_ex' => 'mlx5dv_qp_ex_from_ibv_qp_ex@@MLX5_1.10', + 'mlx5dv_query_device' => 'mlx5dv_query_device@@MLX5_1.0', + 'mlx5dv_query_qp_lag_port' => 'mlx5dv_query_qp_lag_port@@MLX5_1.14', + 'mlx5dv_reserved_qpn_alloc' => 'mlx5dv_reserved_qpn_alloc@@MLX5_1.18', + 'mlx5dv_reserved_qpn_dealloc' => 'mlx5dv_reserved_qpn_dealloc@@MLX5_1.18', + 'mlx5dv_sched_leaf_create' => 'mlx5dv_sched_leaf_create@@MLX5_1.17', + 'mlx5dv_sched_leaf_destroy' => 'mlx5dv_sched_leaf_destroy@@MLX5_1.17', + 'mlx5dv_sched_leaf_modify' => 'mlx5dv_sched_leaf_modify@@MLX5_1.17', + 'mlx5dv_sched_node_create' => 'mlx5dv_sched_node_create@@MLX5_1.17', + 'mlx5dv_sched_node_destroy' => 'mlx5dv_sched_node_destroy@@MLX5_1.17', + 'mlx5dv_sched_node_modify' => 'mlx5dv_sched_node_modify@@MLX5_1.17', + 'mlx5dv_set_context_attr' => 'mlx5dv_set_context_attr@@MLX5_1.2', + 'mlx5dv_vfio_get_events_fd' => 'mlx5dv_vfio_get_events_fd@@MLX5_1.21', + 'mlx5dv_vfio_process_events' => 'mlx5dv_vfio_process_events@@MLX5_1.21' + }, + 'Symbols' => { + 'libmlx5.so.1.24.49.0' => { + '_mlx5dv_mkey_check@@MLX5_1.20' => 1, + '_mlx5dv_query_port@@MLX5_1.19' => 1, + 'mlx5dv_alloc_dm@@MLX5_1.10' => 1, + 'mlx5dv_alloc_var@@MLX5_1.12' => 1, + 'mlx5dv_create_cq@@MLX5_1.1' => 1, + 'mlx5dv_create_flow@@MLX5_1.6' => 1, + 'mlx5dv_create_flow_action_esp@@MLX5_1.5' => 1, + 'mlx5dv_create_flow_action_modify_header@@MLX5_1.7' => 1, + 'mlx5dv_create_flow_action_packet_reformat@@MLX5_1.7' => 1, + 'mlx5dv_create_flow_matcher@@MLX5_1.6' => 1, + 'mlx5dv_create_mkey@@MLX5_1.10' => 1, + 'mlx5dv_create_qp@@MLX5_1.3' => 1, + 'mlx5dv_create_steering_anchor@@MLX5_1.24' => 1, + 'mlx5dv_create_wq@@MLX5_1.3' => 1, + 'mlx5dv_crypto_login@@MLX5_1.21' => 1, + 'mlx5dv_crypto_login_create@@MLX5_1.24' => 1, + 'mlx5dv_crypto_login_destroy@@MLX5_1.24' => 1, + 'mlx5dv_crypto_login_query@@MLX5_1.24' => 1, + 'mlx5dv_crypto_login_query_state@@MLX5_1.21' => 1, + 'mlx5dv_crypto_logout@@MLX5_1.21' => 1, + 'mlx5dv_dci_stream_id_reset@@MLX5_1.21' => 1, + 'mlx5dv_dek_create@@MLX5_1.21' => 1, + 'mlx5dv_dek_destroy@@MLX5_1.21' => 1, + 'mlx5dv_dek_query@@MLX5_1.21' => 1, + 'mlx5dv_destroy_flow_matcher@@MLX5_1.6' => 1, + 'mlx5dv_destroy_mkey@@MLX5_1.10' => 1, + 'mlx5dv_destroy_steering_anchor@@MLX5_1.24' => 1, + 'mlx5dv_devx_alloc_msi_vector@@MLX5_1.23' => 1, + 'mlx5dv_devx_alloc_uar@@MLX5_1.7' => 1, + 'mlx5dv_devx_cq_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_cq_query@@MLX5_1.8' => 1, + 'mlx5dv_devx_create_cmd_comp@@MLX5_1.9' => 1, + 'mlx5dv_devx_create_eq@@MLX5_1.23' => 1, + 'mlx5dv_devx_create_event_channel@@MLX5_1.11' => 1, + 'mlx5dv_devx_destroy_cmd_comp@@MLX5_1.9' => 1, + 'mlx5dv_devx_destroy_eq@@MLX5_1.23' => 1, + 'mlx5dv_devx_destroy_event_channel@@MLX5_1.11' => 1, + 'mlx5dv_devx_free_msi_vector@@MLX5_1.23' => 1, + 'mlx5dv_devx_free_uar@@MLX5_1.7' => 1, + 'mlx5dv_devx_general_cmd@@MLX5_1.7' => 1, + 'mlx5dv_devx_get_async_cmd_comp@@MLX5_1.9' => 1, + 'mlx5dv_devx_get_event@@MLX5_1.11' => 1, + 'mlx5dv_devx_ind_tbl_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_ind_tbl_query@@MLX5_1.8' => 1, + 'mlx5dv_devx_obj_create@@MLX5_1.7' => 1, + 'mlx5dv_devx_obj_destroy@@MLX5_1.7' => 1, + 'mlx5dv_devx_obj_modify@@MLX5_1.7' => 1, + 'mlx5dv_devx_obj_query@@MLX5_1.7' => 1, + 'mlx5dv_devx_obj_query_async@@MLX5_1.9' => 1, + 'mlx5dv_devx_qp_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_qp_query@@MLX5_1.8' => 1, + 'mlx5dv_devx_query_eqn@@MLX5_1.7' => 1, + 'mlx5dv_devx_srq_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_srq_query@@MLX5_1.8' => 1, + 'mlx5dv_devx_subscribe_devx_event@@MLX5_1.11' => 1, + 'mlx5dv_devx_subscribe_devx_event_fd@@MLX5_1.11' => 1, + 'mlx5dv_devx_umem_dereg@@MLX5_1.7' => 1, + 'mlx5dv_devx_umem_reg@@MLX5_1.7' => 1, + 'mlx5dv_devx_umem_reg_ex@@MLX5_1.19' => 1, + 'mlx5dv_devx_wq_modify@@MLX5_1.8' => 1, + 'mlx5dv_devx_wq_query@@MLX5_1.8' => 1, + 'mlx5dv_dm_map_op_addr@@MLX5_1.19' => 1, + 'mlx5dv_dr_action_create_aso@@MLX5_1.17' => 1, + 'mlx5dv_dr_action_create_default_miss@@MLX5_1.14' => 1, + 'mlx5dv_dr_action_create_dest_array@@MLX5_1.16' => 1, + 'mlx5dv_dr_action_create_dest_devx_tir@@MLX5_1.15' => 1, + 'mlx5dv_dr_action_create_dest_ib_port@@MLX5_1.21' => 1, + 'mlx5dv_dr_action_create_dest_ibv_qp@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_dest_root_table@@MLX5_1.24' => 1, + 'mlx5dv_dr_action_create_dest_table@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_dest_vport@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_drop@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_flow_counter@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_flow_meter@@MLX5_1.12' => 1, + 'mlx5dv_dr_action_create_flow_sampler@@MLX5_1.16' => 1, + 'mlx5dv_dr_action_create_modify_header@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_packet_reformat@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_create_pop_vlan@@MLX5_1.17' => 1, + 'mlx5dv_dr_action_create_push_vlan@@MLX5_1.17' => 1, + 'mlx5dv_dr_action_create_tag@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dr_action_modify_aso@@MLX5_1.17' => 1, + 'mlx5dv_dr_action_modify_flow_meter@@MLX5_1.12' => 1, + 'mlx5dv_dr_aso_other_domain_link@@MLX5_1.22' => 1, + 'mlx5dv_dr_aso_other_domain_unlink@@MLX5_1.22' => 1, + 'mlx5dv_dr_domain_allow_duplicate_rules@@MLX5_1.20' => 1, + 'mlx5dv_dr_domain_create@@MLX5_1.10' => 1, + 'mlx5dv_dr_domain_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dr_domain_set_reclaim_device_memory@@MLX5_1.14' => 1, + 'mlx5dv_dr_domain_sync@@MLX5_1.10' => 1, + 'mlx5dv_dr_matcher_create@@MLX5_1.10' => 1, + 'mlx5dv_dr_matcher_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dr_matcher_set_layout@@MLX5_1.21' => 1, + 'mlx5dv_dr_rule_create@@MLX5_1.10' => 1, + 'mlx5dv_dr_rule_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dr_table_create@@MLX5_1.10' => 1, + 'mlx5dv_dr_table_destroy@@MLX5_1.10' => 1, + 'mlx5dv_dump_dr_domain@@MLX5_1.12' => 1, + 'mlx5dv_dump_dr_matcher@@MLX5_1.12' => 1, + 'mlx5dv_dump_dr_rule@@MLX5_1.12' => 1, + 'mlx5dv_dump_dr_table@@MLX5_1.12' => 1, + 'mlx5dv_free_var@@MLX5_1.12' => 1, + 'mlx5dv_get_clock_info@@MLX5_1.4' => 1, + 'mlx5dv_get_vfio_device_list@@MLX5_1.21' => 1, + 'mlx5dv_init_obj@@MLX5_1.2' => 1, + 'mlx5dv_init_obj@MLX5_1.0' => 1, + 'mlx5dv_is_supported@@MLX5_1.8' => 1, + 'mlx5dv_map_ah_to_qp@@MLX5_1.20' => 1, + 'mlx5dv_modify_qp_lag_port@@MLX5_1.14' => 1, + 'mlx5dv_modify_qp_sched_elem@@MLX5_1.17' => 1, + 'mlx5dv_modify_qp_udp_sport@@MLX5_1.17' => 1, + 'mlx5dv_open_device@@MLX5_1.7' => 1, + 'mlx5dv_pp_alloc@@MLX5_1.13' => 1, + 'mlx5dv_pp_free@@MLX5_1.13' => 1, + 'mlx5dv_qp_cancel_posted_send_wrs@@MLX5_1.20' => 1, + 'mlx5dv_qp_ex_from_ibv_qp_ex@@MLX5_1.10' => 1, + 'mlx5dv_query_device@@MLX5_1.0' => 1, + 'mlx5dv_query_qp_lag_port@@MLX5_1.14' => 1, + 'mlx5dv_reserved_qpn_alloc@@MLX5_1.18' => 1, + 'mlx5dv_reserved_qpn_dealloc@@MLX5_1.18' => 1, + 'mlx5dv_sched_leaf_create@@MLX5_1.17' => 1, + 'mlx5dv_sched_leaf_destroy@@MLX5_1.17' => 1, + 'mlx5dv_sched_leaf_modify@@MLX5_1.17' => 1, + 'mlx5dv_sched_node_create@@MLX5_1.17' => 1, + 'mlx5dv_sched_node_destroy@@MLX5_1.17' => 1, + 'mlx5dv_sched_node_modify@@MLX5_1.17' => 1, + 'mlx5dv_set_context_attr@@MLX5_1.2' => 1, + 'mlx5dv_vfio_get_events_fd@@MLX5_1.21' => 1, + 'mlx5dv_vfio_process_events@@MLX5_1.21' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '10024' => { + 'Header' => undef, + 'Line' => '1524', + 'Memb' => { + '0' => { + 'name' => 'tag', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'priv', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_wc_tm_info', + 'Size' => '16', + 'Type' => 'Struct' + }, + '10066' => { + 'Header' => undef, + 'Line' => '1529', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '9991' + }, + '10' => { + 'name' => 'status', + 'offset' => '306', + 'type' => '5420' + }, + '11' => { + 'name' => 'wr_id', + 'offset' => '310', + 'type' => '2023' + }, + '12' => { + 'name' => 'start_poll', + 'offset' => '324', + 'type' => '10535' + }, + '13' => { + 'name' => 'next_poll', + 'offset' => '338', + 'type' => '10555' + }, + '14' => { + 'name' => 'end_poll', + 'offset' => '352', + 'type' => '10571' + }, + '15' => { + 'name' => 'read_opcode', + 'offset' => '360', + 'type' => '10591' + }, + '16' => { + 'name' => 'read_vendor_err', + 'offset' => '374', + 'type' => '10611' + }, + '17' => { + 'name' => 'read_byte_len', + 'offset' => '388', + 'type' => '10611' + }, + '18' => { + 'name' => 'read_imm_data', + 'offset' => '402', + 'type' => '10631' + }, + '19' => { + 'name' => 'read_qp_num', + 'offset' => '512', + 'type' => '10611' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '308' + }, + '20' => { + 'name' => 'read_src_qp', + 'offset' => '520', + 'type' => '10611' + }, + '21' => { + 'name' => 'read_wc_flags', + 'offset' => '534', + 'type' => '10651' + }, + '22' => { + 'name' => 'read_slid', + 'offset' => '548', + 'type' => '10611' + }, + '23' => { + 'name' => 'read_sl', + 'offset' => '562', + 'type' => '10671' + }, + '24' => { + 'name' => 'read_dlid_path_bits', + 'offset' => '576', + 'type' => '10671' + }, + '25' => { + 'name' => 'read_completion_ts', + 'offset' => '584', + 'type' => '10691' + }, + '26' => { + 'name' => 'read_cvlan', + 'offset' => '598', + 'type' => '10711' + }, + '27' => { + 'name' => 'read_flow_tag', + 'offset' => '612', + 'type' => '10611' + }, + '28' => { + 'name' => 'read_tm_info', + 'offset' => '626', + 'type' => '10737' + }, + '29' => { + 'name' => 'read_completion_wallclock_ns', + 'offset' => '640', + 'type' => '10691' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '2001' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '159' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '893' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '966' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '2001' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '2001' + }, + '9' => { + 'name' => 'comp_mask', + 'offset' => '296', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_cq_ex', + 'Size' => '288', + 'Type' => 'Struct' + }, + '10525' => { + 'BaseType' => '10066', + 'Name' => 'struct ibv_cq_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10530' => { + 'BaseType' => '9996', + 'Name' => 'struct ibv_poll_cq_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10535' => { + 'Name' => 'int(*)(struct ibv_cq_ex*, struct ibv_poll_cq_attr*)', + 'Param' => { + '0' => { + 'type' => '10525' + }, + '1' => { + 'type' => '10530' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10555' => { + 'Name' => 'int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10571' => { + 'Name' => 'void(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10591' => { + 'Name' => 'enum ibv_wc_opcode(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '5581', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10611' => { + 'Name' => 'uint32_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '2001', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10631' => { + 'Name' => '__be32(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '2203', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10651' => { + 'Name' => 'unsigned int(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '70', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10671' => { + 'Name' => 'uint8_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '1977', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10691' => { + 'Name' => 'uint64_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '2023', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10711' => { + 'Name' => 'uint16_t(*)(struct ibv_cq_ex*)', + 'Param' => { + '0' => { + 'type' => '10525' + } + }, + 'Return' => '1989', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10732' => { + 'BaseType' => '10024', + 'Name' => 'struct ibv_wc_tm_info*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '10737' => { + 'Name' => 'void(*)(struct ibv_cq_ex*, struct ibv_wc_tm_info*)', + 'Param' => { + '0' => { + 'type' => '10525' + }, + '1' => { + 'type' => '10732' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '10826' => { + 'Header' => undef, + 'Line' => '1706', + 'Memb' => { + '0' => { + 'name' => 'IBV_FLOW_ATTR_NORMAL', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_FLOW_ATTR_ALL_DEFAULT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_FLOW_ATTR_MC_DEFAULT', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_FLOW_ATTR_SNIFFER', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_flow_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '10867' => { + 'BaseType' => '1977', + 'Name' => 'uint8_t[6]', + 'Size' => '6', + 'Type' => 'Array' + }, + '10883' => { + 'Header' => undef, + 'Line' => '1938', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + } + }, + 'Name' => 'struct ibv_flow_action', + 'Size' => '8', + 'Type' => 'Struct' + }, + '10911' => { + 'Header' => undef, + 'Line' => '2103', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + } + }, + 'Name' => 'struct ibv_counters', + 'Size' => '8', + 'Type' => 'Struct' + }, + '10939' => { + 'BaseType' => '10911', + 'Name' => 'struct ibv_counters*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11056' => { + 'Header' => undef, + 'Line' => '1932', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'context', + 'offset' => '8', + 'type' => '2944' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_flow', + 'Size' => '24', + 'Type' => 'Struct' + }, + '111' => { + 'Name' => 'signed char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '11112' => { + 'Header' => undef, + 'Line' => '1946', + 'Memb' => { + '0' => { + 'name' => 'esp_attr', + 'offset' => '0', + 'type' => '11266' + }, + '1' => { + 'name' => 'keymat_proto', + 'offset' => '8', + 'type' => '2243' + }, + '2' => { + 'name' => 'keymat_len', + 'offset' => '18', + 'type' => '1989' + }, + '3' => { + 'name' => 'keymat_ptr', + 'offset' => '22', + 'type' => '308' + }, + '4' => { + 'name' => 'replay_proto', + 'offset' => '36', + 'type' => '2267' + }, + '5' => { + 'name' => 'replay_len', + 'offset' => '40', + 'type' => '1989' + }, + '6' => { + 'name' => 'replay_ptr', + 'offset' => '50', + 'type' => '308' + }, + '7' => { + 'name' => 'esp_encap', + 'offset' => '64', + 'type' => '2406' + }, + '8' => { + 'name' => 'comp_mask', + 'offset' => '72', + 'type' => '2001' + }, + '9' => { + 'name' => 'esn', + 'offset' => '82', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_flow_action_esp_attr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '11266' => { + 'BaseType' => '2411', + 'Name' => 'struct ib_uverbs_flow_action_esp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11271' => { + 'Header' => undef, + 'Line' => '1967', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '11452' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '11468' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '11333' => { + 'BaseType' => '11338', + 'Name' => 'struct ibv_device*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11338' => { + 'Header' => undef, + 'Line' => '1977', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '11271' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '22', + 'type' => '2540' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '32', + 'type' => '2605' + }, + '3' => { + 'name' => 'name', + 'offset' => '36', + 'type' => '3558' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '136', + 'type' => '3558' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '338', + 'type' => '11473' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '1032', + 'type' => '11473' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '11452' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '11333' + }, + '1' => { + 'type' => '159' + } + }, + 'Return' => '2944', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '11468' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '2944' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '11473' => { + 'BaseType' => '356', + 'Name' => 'char[256]', + 'Size' => '256', + 'Type' => 'Array' + }, + '11489' => { + 'Header' => undef, + 'Line' => '1992', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '11977' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '12017' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '128', + 'type' => '12027' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '136', + 'type' => '12142' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '150', + 'type' => '12167' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '260', + 'type' => '12027' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '274', + 'type' => '12027' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '288', + 'type' => '12027' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '296', + 'type' => '12027' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '310', + 'type' => '12027' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '324', + 'type' => '12027' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '338', + 'type' => '12027' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '22', + 'type' => '12027' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '352', + 'type' => '12197' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '360', + 'type' => '12027' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '374', + 'type' => '12027' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '388', + 'type' => '12027' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '402', + 'type' => '12027' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '512', + 'type' => '12232' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '520', + 'type' => '12262' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '534', + 'type' => '12027' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '548', + 'type' => '12027' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '562', + 'type' => '12027' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '36', + 'type' => '12027' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '576', + 'type' => '12027' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '584', + 'type' => '12027' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '50', + 'type' => '12027' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '64', + 'type' => '12027' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '72', + 'type' => '12027' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '86', + 'type' => '12052' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '100', + 'type' => '12087' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '114', + 'type' => '12107' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '11972' => { + 'BaseType' => '3024', + 'Name' => 'struct ibv_device_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '11977' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_device_attr*)', + 'Param' => { + '0' => { + 'type' => '2944' + }, + '1' => { + 'type' => '11972' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12007' => { + 'BaseType' => '12012', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12012' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '12017' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '2944' + }, + '1' => { + 'type' => '1977' + }, + '2' => { + 'type' => '12007' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12027' => { + 'Name' => 'void*(*)()', + 'Return' => '308', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12052' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '6312' + }, + '1' => { + 'type' => '6317' + } + }, + 'Return' => '8384', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12082' => { + 'BaseType' => '8957', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12087' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '5100' + }, + '1' => { + 'type' => '8384' + }, + '2' => { + 'type' => '12082' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12107' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '8384' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12137' => { + 'BaseType' => '5754', + 'Name' => 'struct ibv_wc*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12142' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '4900' + }, + '1' => { + 'type' => '159' + }, + '2' => { + 'type' => '12137' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12167' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '4900' + }, + '1' => { + 'type' => '159' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12197' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '5216' + }, + '1' => { + 'type' => '8695' + }, + '2' => { + 'type' => '9038' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12227' => { + 'BaseType' => '8615', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '12232' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '5100' + }, + '1' => { + 'type' => '8615' + }, + '2' => { + 'type' => '12227' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12262' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '5100' + }, + '1' => { + 'type' => '8695' + }, + '2' => { + 'type' => '9038' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12267' => { + 'Header' => undef, + 'Line' => '2055', + 'Memb' => { + '0' => { + 'name' => 'cqe', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'cq_context', + 'offset' => '8', + 'type' => '308' + }, + '2' => { + 'name' => 'channel', + 'offset' => '22', + 'type' => '9991' + }, + '3' => { + 'name' => 'comp_vector', + 'offset' => '36', + 'type' => '2001' + }, + '4' => { + 'name' => 'wc_flags', + 'offset' => '50', + 'type' => '2023' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '2001' + }, + '6' => { + 'name' => 'flags', + 'offset' => '68', + 'type' => '2001' + }, + '7' => { + 'name' => 'parent_domain', + 'offset' => '72', + 'type' => '6312' + } + }, + 'Name' => 'struct ibv_cq_init_attr_ex', + 'Size' => '56', + 'Type' => 'Struct' + }, + '123' => { + 'BaseType' => '46', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '12529' => { + 'Name' => 'void*(*)(struct ibv_pd*, void*, size_t, size_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '6312' + }, + '1' => { + 'type' => '308' + }, + '2' => { + 'type' => '419' + }, + '3' => { + 'type' => '419' + }, + '4' => { + 'type' => '2023' + } + }, + 'Return' => '308', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '12560' => { + 'Name' => 'void(*)(struct ibv_pd*, void*, void*, uint64_t)', + 'Param' => { + '0' => { + 'type' => '6312' + }, + '1' => { + 'type' => '308' + }, + '2' => { + 'type' => '308' + }, + '3' => { + 'type' => '2023' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '1273007' => { + 'Header' => undef, + 'Line' => '9', + 'Memb' => { + '0' => { + 'name' => 'DR_ARG_CHUNK_SIZE_1', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_ARG_CHUNK_SIZE_MIN', + 'value' => '0' + }, + '2' => { + 'name' => 'DR_ARG_CHUNK_SIZE_2', + 'value' => '1' + }, + '3' => { + 'name' => 'DR_ARG_CHUNK_SIZE_3', + 'value' => '2' + }, + '4' => { + 'name' => 'DR_ARG_CHUNK_SIZE_4', + 'value' => '3' + }, + '5' => { + 'name' => 'DR_ARG_CHUNK_SIZE_MAX', + 'value' => '4' + } + }, + 'Name' => 'enum dr_arg_chunk_size', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1273061' => { + 'Header' => undef, + 'Line' => '19', + 'Memb' => { + '0' => { + 'name' => 'log_chunk_size', + 'offset' => '0', + 'type' => '1273007' + }, + '1' => { + 'name' => 'dmn', + 'offset' => '8', + 'type' => '297523' + }, + '2' => { + 'name' => 'free_list', + 'offset' => '22', + 'type' => '14402' + }, + '3' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '1241105' + } + }, + 'Name' => 'struct dr_arg_pool', + 'Size' => '72', + 'Type' => 'Struct' + }, + '1273127' => { + 'BaseType' => '1273143', + 'Name' => 'struct dr_arg_pool*[4]', + 'Size' => '32', + 'Type' => 'Array' + }, + '1273143' => { + 'BaseType' => '1273061', + 'Name' => 'struct dr_arg_pool*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1307914' => { + 'Header' => undef, + 'Line' => '1688', + 'Memb' => { + '0' => { + 'name' => 'flags', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_context_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '13447' => { + 'BaseType' => '2023', + 'Name' => 'uint64_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1345948' => { + 'BaseType' => '1307914', + 'Name' => 'struct mlx5dv_context_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13487' => { + 'BaseType' => '11056', + 'Name' => 'struct ibv_flow*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13607' => { + 'BaseType' => '2694', + 'Name' => 'struct ibv_alloc_dm_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13657' => { + 'BaseType' => '10883', + 'Name' => 'struct ibv_flow_action*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '13662' => { + 'BaseType' => '11112', + 'Name' => 'struct ibv_flow_action_esp_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14012' => { + 'BaseType' => '6714', + 'Name' => 'struct ibv_wq_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1405644' => { + 'Header' => undef, + 'Line' => '1699', + 'Memb' => { + '0' => { + 'name' => 'pci_name', + 'offset' => '0', + 'type' => '1967' + }, + '1' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_vfio_context_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '14072' => { + 'BaseType' => '12267', + 'Name' => 'struct ibv_cq_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1408' => { + 'Header' => undef, + 'Line' => '49', + 'Memb' => { + '0' => { + 'name' => '_flags', + 'offset' => '0', + 'type' => '159' + }, + '1' => { + 'name' => '_IO_read_ptr', + 'offset' => '8', + 'type' => '346' + }, + '10' => { + 'name' => '_IO_backup_base', + 'offset' => '128', + 'type' => '346' + }, + '11' => { + 'name' => '_IO_save_end', + 'offset' => '136', + 'type' => '346' + }, + '12' => { + 'name' => '_markers', + 'offset' => '150', + 'type' => '1824' + }, + '13' => { + 'name' => '_chain', + 'offset' => '260', + 'type' => '1829' + }, + '14' => { + 'name' => '_fileno', + 'offset' => '274', + 'type' => '159' + }, + '15' => { + 'name' => '_flags2', + 'offset' => '278', + 'type' => '159' + }, + '16' => { + 'name' => '_old_offset', + 'offset' => '288', + 'type' => '248' + }, + '17' => { + 'name' => '_cur_column', + 'offset' => '296', + 'type' => '58' + }, + '18' => { + 'name' => '_vtable_offset', + 'offset' => '304', + 'type' => '111' + }, + '19' => { + 'name' => '_shortbuf', + 'offset' => '305', + 'type' => '1834' + }, + '2' => { + 'name' => '_IO_read_end', + 'offset' => '22', + 'type' => '346' + }, + '20' => { + 'name' => '_lock', + 'offset' => '310', + 'type' => '1850' + }, + '21' => { + 'name' => '_offset', + 'offset' => '324', + 'type' => '260' + }, + '22' => { + 'name' => '_codecvt', + 'offset' => '338', + 'type' => '1860' + }, + '23' => { + 'name' => '_wide_data', + 'offset' => '352', + 'type' => '1870' + }, + '24' => { + 'name' => '_freeres_list', + 'offset' => '360', + 'type' => '1829' + }, + '25' => { + 'name' => '_freeres_buf', + 'offset' => '374', + 'type' => '308' + }, + '26' => { + 'name' => '__pad5', + 'offset' => '388', + 'type' => '419' + }, + '27' => { + 'name' => '_mode', + 'offset' => '402', + 'type' => '159' + }, + '28' => { + 'name' => '_unused2', + 'offset' => '406', + 'type' => '1875' + }, + '3' => { + 'name' => '_IO_read_base', + 'offset' => '36', + 'type' => '346' + }, + '4' => { + 'name' => '_IO_write_base', + 'offset' => '50', + 'type' => '346' + }, + '5' => { + 'name' => '_IO_write_ptr', + 'offset' => '64', + 'type' => '346' + }, + '6' => { + 'name' => '_IO_write_end', + 'offset' => '72', + 'type' => '346' + }, + '7' => { + 'name' => '_IO_buf_base', + 'offset' => '86', + 'type' => '346' + }, + '8' => { + 'name' => '_IO_buf_end', + 'offset' => '100', + 'type' => '346' + }, + '9' => { + 'name' => '_IO_save_base', + 'offset' => '114', + 'type' => '346' + } + }, + 'Name' => 'struct _IO_FILE', + 'Size' => '216', + 'Type' => 'Struct' + }, + '14237' => { + 'BaseType' => '7312', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14267' => { + 'BaseType' => '2001', + 'Name' => 'uint32_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14357' => { + 'Header' => undef, + 'Line' => '24', + 'Memb' => { + '0' => { + 'name' => 'next', + 'offset' => '0', + 'type' => '14397' + }, + '1' => { + 'name' => 'prev', + 'offset' => '8', + 'type' => '14397' + } + }, + 'Name' => 'struct list_node', + 'Size' => '16', + 'Type' => 'Struct' + }, + '14397' => { + 'BaseType' => '14357', + 'Name' => 'struct list_node*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '14402' => { + 'Header' => undef, + 'Line' => '41', + 'Memb' => { + '0' => { + 'name' => 'n', + 'offset' => '0', + 'type' => '14357' + } + }, + 'Name' => 'struct list_head', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1443588' => { + 'BaseType' => '11333', + 'Name' => 'struct ibv_device**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1443593' => { + 'BaseType' => '1405644', + 'Name' => 'struct mlx5dv_vfio_context_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '147' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '40', + 'Name' => '__uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '15276' => { + 'Header' => undef, + 'Line' => '42', + 'Memb' => { + '0' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_NIC_RX', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_NIC_TX', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_FDB', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_RDMA_RX', + 'value' => '3' + }, + '4' => { + 'name' => 'MLX5_IB_UAPI_FLOW_TABLE_TYPE_RDMA_TX', + 'value' => '4' + } + }, + 'Name' => 'enum mlx5_ib_uapi_flow_table_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '15324' => { + 'Header' => undef, + 'Line' => '50', + 'Memb' => { + '0' => { + 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TUNNEL_TO_L2', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TO_L2_TUNNEL', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L3_TUNNEL_TO_L2', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5_IB_UAPI_FLOW_ACTION_PACKET_REFORMAT_TYPE_L2_TO_L3_TUNNEL', + 'value' => '3' + } + }, + 'Name' => 'enum mlx5_ib_uapi_flow_action_packet_reformat_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '15366' => { + 'Header' => undef, + 'Line' => '57', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2179' + }, + '1' => { + 'name' => 'out_data', + 'offset' => '8', + 'type' => '15404' + } + }, + 'Name' => 'struct mlx5_ib_uapi_devx_async_cmd_hdr', + 'Size' => '8', + 'Type' => 'Struct' + }, + '15404' => { + 'BaseType' => '2143', + 'Name' => '__u8[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '15419' => { + 'Header' => undef, + 'Line' => '62', + 'Memb' => { + '0' => { + 'name' => 'MLX5_IB_UAPI_DM_TYPE_MEMIC', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5_IB_UAPI_DM_TYPE_STEERING_SW_ICM', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5_IB_UAPI_DM_TYPE_HEADER_MODIFY_SW_ICM', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5_IB_UAPI_DM_TYPE_HEADER_MODIFY_PATTERN_SW_ICM', + 'value' => '3' + } + }, + 'Name' => 'enum mlx5_ib_uapi_dm_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '15461' => { + 'Header' => undef, + 'Line' => '69', + 'Memb' => { + '0' => { + 'name' => 'MLX5_IB_UAPI_DEVX_CR_EV_CH_FLAGS_OMIT_DATA', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5_ib_uapi_devx_create_event_channel_flags', + 'Size' => '4', + 'Type' => 'Enum' + }, + '15485' => { + 'Header' => undef, + 'Line' => '73', + 'Memb' => { + '0' => { + 'name' => 'cookie', + 'offset' => '0', + 'type' => '2179' + }, + '1' => { + 'name' => 'out_data', + 'offset' => '8', + 'type' => '15404' + } + }, + 'Name' => 'struct mlx5_ib_uapi_devx_async_event_hdr', + 'Size' => '8', + 'Type' => 'Struct' + }, + '15523' => { + 'Header' => undef, + 'Line' => '96', + 'Memb' => { + '0' => { + 'name' => 'value', + 'offset' => '0', + 'type' => '2167' + }, + '1' => { + 'name' => 'mask', + 'offset' => '4', + 'type' => '2167' + } + }, + 'Name' => 'struct mlx5_ib_uapi_reg', + 'Size' => '8', + 'Type' => 'Struct' + }, + '15563' => { + 'Header' => undef, + 'Line' => '101', + 'Memb' => { + '0' => { + 'name' => 'flags', + 'offset' => '0', + 'type' => '2179' + }, + '1' => { + 'name' => 'vport', + 'offset' => '8', + 'type' => '2155' + }, + '2' => { + 'name' => 'vport_vhca_id', + 'offset' => '16', + 'type' => '2155' + }, + '3' => { + 'name' => 'esw_owner_vhca_id', + 'offset' => '18', + 'type' => '2155' + }, + '4' => { + 'name' => 'rsvd0', + 'offset' => '20', + 'type' => '2155' + }, + '5' => { + 'name' => 'vport_steering_icm_rx', + 'offset' => '22', + 'type' => '2179' + }, + '6' => { + 'name' => 'vport_steering_icm_tx', + 'offset' => '36', + 'type' => '2179' + }, + '7' => { + 'name' => 'reg_c0', + 'offset' => '50', + 'type' => '15523' + } + }, + 'Name' => 'struct mlx5_ib_uapi_query_port', + 'Size' => '40', + 'Type' => 'Struct' + }, + '15677' => { + 'Header' => undef, + 'Line' => '92', + 'Memb' => { + '0' => { + 'name' => 'max_num', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'supported_format', + 'offset' => '4', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_cqe_comp_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '15717' => { + 'Header' => undef, + 'Line' => '97', + 'Memb' => { + '0' => { + 'name' => 'sw_parsing_offloads', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'supported_qpts', + 'offset' => '4', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_sw_parsing_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '15757' => { + 'Header' => undef, + 'Line' => '102', + 'Memb' => { + '0' => { + 'name' => 'min_single_stride_log_num_of_bytes', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'max_single_stride_log_num_of_bytes', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'min_single_wqe_log_num_of_strides', + 'offset' => '8', + 'type' => '2001' + }, + '3' => { + 'name' => 'max_single_wqe_log_num_of_strides', + 'offset' => '18', + 'type' => '2001' + }, + '4' => { + 'name' => 'supported_qpts', + 'offset' => '22', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_striding_rq_caps', + 'Size' => '20', + 'Type' => 'Struct' + }, + '15836' => { + 'Header' => undef, + 'Line' => '110', + 'Memb' => { + '0' => { + 'name' => 'max_log_num_concurent', + 'offset' => '0', + 'type' => '1977' + }, + '1' => { + 'name' => 'max_log_num_errored', + 'offset' => '1', + 'type' => '1977' + } + }, + 'Name' => 'struct mlx5dv_dci_streams_caps', + 'Size' => '2', + 'Type' => 'Struct' + }, + '15876' => { + 'Header' => undef, + 'Line' => '131', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_SIG_TYPE_T10DIF', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_SIG_TYPE_CRC', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5dv_sig_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '159' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '15906' => { + 'Header' => undef, + 'Line' => '141', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_SIG_T10DIF_CRC', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_SIG_T10DIF_CSUM', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5dv_sig_t10dif_bg_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '15936' => { + 'Header' => undef, + 'Line' => '151', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_SIG_CRC_TYPE_CRC32', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_SIG_CRC_TYPE_CRC32C', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5DV_SIG_CRC_TYPE_CRC64_XP10', + 'value' => '2' + } + }, + 'Name' => 'enum mlx5dv_sig_crc_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '15972' => { + 'Header' => undef, + 'Line' => '163', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_BLOCK_SIZE_512', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_BLOCK_SIZE_520', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5DV_BLOCK_SIZE_4048', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5DV_BLOCK_SIZE_4096', + 'value' => '3' + }, + '4' => { + 'name' => 'MLX5DV_BLOCK_SIZE_4160', + 'value' => '4' + } + }, + 'Name' => 'enum mlx5dv_block_size', + 'Size' => '4', + 'Type' => 'Enum' + }, + '16020' => { + 'Header' => undef, + 'Line' => '179', + 'Memb' => { + '0' => { + 'name' => 'block_size', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'block_prot', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 't10dif_bg', + 'offset' => '18', + 'type' => '1989' + }, + '3' => { + 'name' => 'crc_type', + 'offset' => '20', + 'type' => '1989' + } + }, + 'Name' => 'struct mlx5dv_sig_caps', + 'Size' => '16', + 'Type' => 'Struct' + }, + '16086' => { + 'Header' => undef, + 'Line' => '202', + 'Memb' => { + '0' => { + 'name' => 'failed_selftests', + 'offset' => '0', + 'type' => '1989' + }, + '1' => { + 'name' => 'crypto_engines', + 'offset' => '2', + 'type' => '1977' + }, + '2' => { + 'name' => 'wrapped_import_method', + 'offset' => '3', + 'type' => '1977' + }, + '3' => { + 'name' => 'log_max_num_deks', + 'offset' => '4', + 'type' => '1977' + }, + '4' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_crypto_caps', + 'Size' => '12', + 'Type' => 'Struct' + }, + '16165' => { + 'Header' => undef, + 'Line' => '218', + 'Memb' => { + '0' => { + 'name' => 'version', + 'offset' => '0', + 'type' => '1977' + }, + '1' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '2023' + }, + '10' => { + 'name' => 'dc_odp_caps', + 'offset' => '132', + 'type' => '2001' + }, + '11' => { + 'name' => 'hca_core_clock', + 'offset' => '136', + 'type' => '308' + }, + '12' => { + 'name' => 'num_lag_ports', + 'offset' => '150', + 'type' => '1977' + }, + '13' => { + 'name' => 'sig_caps', + 'offset' => '260', + 'type' => '16020' + }, + '14' => { + 'name' => 'dci_streams_caps', + 'offset' => '288', + 'type' => '15836' + }, + '15' => { + 'name' => 'max_wr_memcpy_length', + 'offset' => '296', + 'type' => '419' + }, + '16' => { + 'name' => 'crypto_caps', + 'offset' => '310', + 'type' => '16086' + }, + '17' => { + 'name' => 'max_dc_rd_atom', + 'offset' => '338', + 'type' => '2023' + }, + '18' => { + 'name' => 'max_dc_init_rd_atom', + 'offset' => '352', + 'type' => '2023' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '2023' + }, + '3' => { + 'name' => 'cqe_comp_caps', + 'offset' => '36', + 'type' => '15677' + }, + '4' => { + 'name' => 'sw_parsing_caps', + 'offset' => '50', + 'type' => '15717' + }, + '5' => { + 'name' => 'striding_rq_caps', + 'offset' => '64', + 'type' => '15757' + }, + '6' => { + 'name' => 'tunnel_offloads_caps', + 'offset' => '96', + 'type' => '2001' + }, + '7' => { + 'name' => 'max_dynamic_bfregs', + 'offset' => '100', + 'type' => '2001' + }, + '8' => { + 'name' => 'max_clock_info_update_nsec', + 'offset' => '114', + 'type' => '2023' + }, + '9' => { + 'name' => 'flow_action_flags', + 'offset' => '128', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_context', + 'Size' => '168', + 'Type' => 'Struct' + }, + '16426' => { + 'Header' => undef, + 'Line' => '265', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'cqe_comp_res_format', + 'offset' => '8', + 'type' => '1977' + }, + '2' => { + 'name' => 'flags', + 'offset' => '18', + 'type' => '2001' + }, + '3' => { + 'name' => 'cqe_size', + 'offset' => '22', + 'type' => '1989' + } + }, + 'Name' => 'struct mlx5dv_cq_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '16496' => { + 'Header' => undef, + 'Line' => '294', + 'Memb' => { + '0' => { + 'name' => 'pd', + 'offset' => '0', + 'type' => '6312' + }, + '1' => { + 'name' => 'create_flags', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'max_entries', + 'offset' => '18', + 'type' => '1989' + } + }, + 'Name' => 'struct mlx5dv_mkey_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '16551' => { + 'Header' => undef, + 'Line' => '300', + 'Memb' => { + '0' => { + 'name' => 'lkey', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '4', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_mkey', + 'Size' => '8', + 'Type' => 'Struct' + }, + '16593' => { + 'Header' => undef, + 'Line' => '315', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_DCTYPE_DCT', + 'value' => '1' + }, + '1' => { + 'name' => 'MLX5DV_DCTYPE_DCI', + 'value' => '2' + } + }, + 'Name' => 'enum mlx5dv_dc_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '166' => { + 'BaseType' => '159', + 'Name' => 'int volatile', + 'Size' => '4', + 'Type' => 'Volatile' + }, + '16622' => { + 'Header' => undef, + 'Line' => '320', + 'Memb' => { + '0' => { + 'name' => 'log_num_concurent', + 'offset' => '0', + 'type' => '1977' + }, + '1' => { + 'name' => 'log_num_errored', + 'offset' => '1', + 'type' => '1977' + } + }, + 'Name' => 'struct mlx5dv_dci_streams', + 'Size' => '2', + 'Type' => 'Struct' + }, + '16664' => { + 'Header' => undef, + 'Line' => '327', + 'Memb' => { + '0' => { + 'name' => 'dct_access_key', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'dci_streams', + 'offset' => '0', + 'type' => '16622' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '16700' => { + 'Header' => undef, + 'Line' => '325', + 'Memb' => { + '0' => { + 'name' => 'dc_type', + 'offset' => '0', + 'type' => '16593' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '16664' + } + }, + 'Name' => 'struct mlx5dv_dc_init_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '16734' => { + 'Header' => undef, + 'Line' => '341', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'create_flags', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'dc_init_attr', + 'offset' => '22', + 'type' => '16700' + }, + '3' => { + 'name' => 'send_ops_flags', + 'offset' => '50', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_qp_init_attr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '16804' => { + 'Header' => undef, + 'Line' => '352', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'bytes_count', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'bytes_skip', + 'offset' => '18', + 'type' => '2001' + }, + '3' => { + 'name' => 'lkey', + 'offset' => '22', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_mr_interleaved', + 'Size' => '24', + 'Type' => 'Struct' + }, + '16874' => { + 'BaseType' => '16804', + 'Name' => 'struct mlx5dv_mr_interleaved const', + 'Size' => '24', + 'Type' => 'Const' + }, + '16879' => { + 'Header' => undef, + 'Line' => '365', + 'Memb' => { + '0' => { + 'name' => 'bg_type', + 'offset' => '0', + 'type' => '15906' + }, + '1' => { + 'name' => 'bg', + 'offset' => '4', + 'type' => '1989' + }, + '2' => { + 'name' => 'app_tag', + 'offset' => '6', + 'type' => '1989' + }, + '3' => { + 'name' => 'ref_tag', + 'offset' => '8', + 'type' => '2001' + }, + '4' => { + 'name' => 'flags', + 'offset' => '18', + 'type' => '1989' + } + }, + 'Name' => 'struct mlx5dv_sig_t10dif', + 'Size' => '16', + 'Type' => 'Struct' + }, + '16962' => { + 'BaseType' => '16879', + 'Name' => 'struct mlx5dv_sig_t10dif const', + 'Size' => '16', + 'Type' => 'Const' + }, + '16967' => { + 'Header' => undef, + 'Line' => '373', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '15936' + }, + '1' => { + 'name' => 'seed', + 'offset' => '8', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_sig_crc', + 'Size' => '16', + 'Type' => 'Struct' + }, + '17009' => { + 'BaseType' => '16967', + 'Name' => 'struct mlx5dv_sig_crc const', + 'Size' => '16', + 'Type' => 'Const' + }, + '17014' => { + 'Header' => undef, + 'Line' => '380', + 'Memb' => { + '0' => { + 'name' => 'dif', + 'offset' => '0', + 'type' => '17050' + }, + '1' => { + 'name' => 'crc', + 'offset' => '0', + 'type' => '17055' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '17050' => { + 'BaseType' => '16962', + 'Name' => 'struct mlx5dv_sig_t10dif const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17055' => { + 'BaseType' => '17009', + 'Name' => 'struct mlx5dv_sig_crc const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17060' => { + 'Header' => undef, + 'Line' => '378', + 'Memb' => { + '0' => { + 'name' => 'sig_type', + 'offset' => '0', + 'type' => '15876' + }, + '1' => { + 'name' => 'sig', + 'offset' => '8', + 'type' => '17014' + }, + '2' => { + 'name' => 'block_size', + 'offset' => '22', + 'type' => '15972' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '36', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_sig_block_domain', + 'Size' => '32', + 'Type' => 'Struct' + }, + '1710210' => { + 'Header' => undef, + 'Line' => '585', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_MKEY_NO_ERR', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_MKEY_SIG_BLOCK_BAD_GUARD', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5DV_MKEY_SIG_BLOCK_BAD_REFTAG', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5DV_MKEY_SIG_BLOCK_BAD_APPTAG', + 'value' => '3' + } + }, + 'Name' => 'enum mlx5dv_mkey_err_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1710252' => { + 'Header' => undef, + 'Line' => '592', + 'Memb' => { + '0' => { + 'name' => 'actual_value', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'expected_value', + 'offset' => '8', + 'type' => '2023' + }, + '2' => { + 'name' => 'offset', + 'offset' => '22', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_sig_err', + 'Size' => '24', + 'Type' => 'Struct' + }, + '1710308' => { + 'Header' => undef, + 'Line' => '600', + 'Memb' => { + '0' => { + 'name' => 'sig', + 'offset' => '0', + 'type' => '1710252' + } + }, + 'Size' => '24', + 'Type' => 'Union' + }, + '1710331' => { + 'Header' => undef, + 'Line' => '598', + 'Memb' => { + '0' => { + 'name' => 'err_type', + 'offset' => '0', + 'type' => '1710210' + }, + '1' => { + 'name' => 'err', + 'offset' => '8', + 'type' => '1710308' + } + }, + 'Name' => 'struct mlx5dv_mkey_err', + 'Size' => '32', + 'Type' => 'Struct' + }, + '17130' => { + 'BaseType' => '17060', + 'Name' => 'struct mlx5dv_sig_block_domain const', + 'Size' => '32', + 'Type' => 'Const' + }, + '17135' => { + 'Header' => undef, + 'Line' => '401', + 'Memb' => { + '0' => { + 'name' => 'mem', + 'offset' => '0', + 'type' => '17238' + }, + '1' => { + 'name' => 'wire', + 'offset' => '8', + 'type' => '17238' + }, + '2' => { + 'name' => 'flags', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'check_mask', + 'offset' => '32', + 'type' => '1977' + }, + '4' => { + 'name' => 'copy_mask', + 'offset' => '33', + 'type' => '1977' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '36', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_sig_block_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '17233' => { + 'BaseType' => '17135', + 'Name' => 'struct mlx5dv_sig_block_attr const', + 'Size' => '32', + 'Type' => 'Const' + }, + '17238' => { + 'BaseType' => '17130', + 'Name' => 'struct mlx5dv_sig_block_domain const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17243' => { + 'Header' => undef, + 'Line' => '410', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_CRYPTO_STANDARD_AES_XTS', + 'value' => '0' + } + }, + 'Name' => 'enum mlx5dv_crypto_standard', + 'Size' => '4', + 'Type' => 'Enum' + }, + '17266' => { + 'Header' => undef, + 'Line' => '414', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_SIGNATURE_CRYPTO_ORDER_SIGNATURE_AFTER_CRYPTO_ON_TX', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_SIGNATURE_CRYPTO_ORDER_SIGNATURE_BEFORE_CRYPTO_ON_TX', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5dv_signature_crypto_order', + 'Size' => '4', + 'Type' => 'Enum' + }, + '17295' => { + 'Header' => undef, + 'Line' => '419', + 'Memb' => { + '0' => { + 'name' => 'crypto_standard', + 'offset' => '0', + 'type' => '17243' + }, + '1' => { + 'name' => 'encrypt_on_tx', + 'offset' => '4', + 'type' => '2091' + }, + '2' => { + 'name' => 'signature_crypto_order', + 'offset' => '8', + 'type' => '17266' + }, + '3' => { + 'name' => 'data_unit_size', + 'offset' => '18', + 'type' => '15972' + }, + '4' => { + 'name' => 'initial_tweak', + 'offset' => '22', + 'type' => '17426' + }, + '5' => { + 'name' => 'dek', + 'offset' => '50', + 'type' => '17470' + }, + '6' => { + 'name' => 'keytag', + 'offset' => '64', + 'type' => '978' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '72', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_crypto_attr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '17421' => { + 'BaseType' => '17295', + 'Name' => 'struct mlx5dv_crypto_attr const', + 'Size' => '56', + 'Type' => 'Const' + }, + '17426' => { + 'BaseType' => '356', + 'Name' => 'char[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '17442' => { + 'Header' => undef, + 'Line' => '915', + 'Memb' => { + '0' => { + 'name' => 'devx_obj', + 'offset' => '0', + 'type' => '19197' + } + }, + 'Name' => 'struct mlx5dv_dek', + 'Size' => '8', + 'Type' => 'Struct' + }, + '17470' => { + 'BaseType' => '17442', + 'Name' => 'struct mlx5dv_dek*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17475' => { + 'Header' => undef, + 'Line' => '434', + 'Memb' => { + '0' => { + 'name' => 'conf_flags', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_mkey_conf_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '17517' => { + 'Header' => undef, + 'Line' => '445', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'wr_set_dc_addr', + 'offset' => '8', + 'type' => '17744' + }, + '10' => { + 'name' => 'wr_set_dc_addr_stream', + 'offset' => '128', + 'type' => '18033' + }, + '11' => { + 'name' => 'wr_memcpy', + 'offset' => '136', + 'type' => '18074' + }, + '12' => { + 'name' => 'wr_set_mkey_crypto', + 'offset' => '150', + 'type' => '18100' + }, + '2' => { + 'name' => 'wr_mr_interleaved', + 'offset' => '22', + 'type' => '17795' + }, + '3' => { + 'name' => 'wr_mr_list', + 'offset' => '36', + 'type' => '17831' + }, + '4' => { + 'name' => 'wr_mkey_configure', + 'offset' => '50', + 'type' => '17867' + }, + '5' => { + 'name' => 'wr_set_mkey_access_flags', + 'offset' => '64', + 'type' => '17888' + }, + '6' => { + 'name' => 'wr_set_mkey_layout_list', + 'offset' => '72', + 'type' => '17914' + }, + '7' => { + 'name' => 'wr_set_mkey_layout_interleaved', + 'offset' => '86', + 'type' => '17950' + }, + '8' => { + 'name' => 'wr_set_mkey_sig_block', + 'offset' => '100', + 'type' => '17976' + }, + '9' => { + 'name' => 'wr_raw_wqe', + 'offset' => '114', + 'type' => '17997' + } + }, + 'Name' => 'struct mlx5dv_qp_ex', + 'Size' => '104', + 'Type' => 'Struct' + }, + '176' => { + 'BaseType' => '70', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '1763045' => { + 'BaseType' => '1710331', + 'Name' => 'struct mlx5dv_mkey_err*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17739' => { + 'BaseType' => '17517', + 'Name' => 'struct mlx5dv_qp_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17744' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct ibv_ah*, uint32_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '8231' + }, + '2' => { + 'type' => '2001' + }, + '3' => { + 'type' => '2023' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17785' => { + 'BaseType' => '16551', + 'Name' => 'struct mlx5dv_mkey*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17790' => { + 'BaseType' => '16804', + 'Name' => 'struct mlx5dv_mr_interleaved*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17795' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_mkey*, uint32_t, uint32_t, uint16_t, struct mlx5dv_mr_interleaved*)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '17785' + }, + '2' => { + 'type' => '2001' + }, + '3' => { + 'type' => '2001' + }, + '4' => { + 'type' => '1989' + }, + '5' => { + 'type' => '17790' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17831' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_mkey*, uint32_t, uint16_t, struct ibv_sge*)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '17785' + }, + '2' => { + 'type' => '2001' + }, + '3' => { + 'type' => '1989' + }, + '4' => { + 'type' => '8620' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17862' => { + 'BaseType' => '17475', + 'Name' => 'struct mlx5dv_mkey_conf_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17867' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_mkey*, uint8_t, struct mlx5dv_mkey_conf_attr*)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '17785' + }, + '2' => { + 'type' => '1977' + }, + '3' => { + 'type' => '17862' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17888' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '2001' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17914' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, uint16_t, struct ibv_sge const*)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '1989' + }, + '2' => { + 'type' => '9834' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17945' => { + 'BaseType' => '16874', + 'Name' => 'struct mlx5dv_mr_interleaved const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17950' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, uint32_t, uint16_t, struct mlx5dv_mr_interleaved const*)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '1989' + }, + '3' => { + 'type' => '17945' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '17971' => { + 'BaseType' => '17233', + 'Name' => 'struct mlx5dv_sig_block_attr const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '17976' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_sig_block_attr const*)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '17971' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '1799' => { + 'BaseType' => '1408', + 'Header' => undef, + 'Line' => '7', + 'Name' => 'FILE', + 'Size' => '216', + 'Type' => 'Typedef' + }, + '17997' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, void const*)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '1961' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18033' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct ibv_ah*, uint32_t, uint64_t, uint16_t)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '8231' + }, + '2' => { + 'type' => '2001' + }, + '3' => { + 'type' => '2023' + }, + '4' => { + 'type' => '1989' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18074' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, uint32_t, uint64_t, uint32_t, uint64_t, size_t)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2023' + }, + '3' => { + 'type' => '2001' + }, + '4' => { + 'type' => '2023' + }, + '5' => { + 'type' => '419' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18095' => { + 'BaseType' => '17421', + 'Name' => 'struct mlx5dv_crypto_attr const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18100' => { + 'Name' => 'void(*)(struct mlx5dv_qp_ex*, struct mlx5dv_crypto_attr const*)', + 'Param' => { + '0' => { + 'type' => '17739' + }, + '1' => { + 'type' => '18095' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '18105' => { + 'Header' => undef, + 'Line' => '624', + 'Memb' => { + '0' => { + 'name' => 'credential_id', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'import_kek_id', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'credential', + 'offset' => '8', + 'type' => '950' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '86', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_crypto_login_attr', + 'Size' => '64', + 'Type' => 'Struct' + }, + '1811' => { + 'BaseType' => '1', + 'Header' => undef, + 'Line' => '43', + 'Name' => '_IO_lock_t', + 'Type' => 'Typedef' + }, + '18175' => { + 'Header' => undef, + 'Line' => '631', + 'Memb' => { + '0' => { + 'name' => 'credential_id', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'import_kek_id', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'credential', + 'offset' => '8', + 'type' => '1961' + }, + '3' => { + 'name' => 'credential_len', + 'offset' => '22', + 'type' => '419' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '36', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_crypto_login_attr_ex', + 'Size' => '32', + 'Type' => 'Struct' + }, + '1819' => { + 'Name' => 'struct _IO_marker', + 'Type' => 'Struct' + }, + '1824' => { + 'BaseType' => '1819', + 'Name' => 'struct _IO_marker*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18259' => { + 'Header' => undef, + 'Line' => '638', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_CRYPTO_LOGIN_STATE_VALID', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_CRYPTO_LOGIN_STATE_NO_LOGIN', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5DV_CRYPTO_LOGIN_STATE_INVALID', + 'value' => '2' + } + }, + 'Name' => 'enum mlx5dv_crypto_login_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1829' => { + 'BaseType' => '1408', + 'Name' => 'struct _IO_FILE*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18294' => { + 'Header' => undef, + 'Line' => '644', + 'Memb' => { + '0' => { + 'name' => 'state', + 'offset' => '0', + 'type' => '18259' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_crypto_login_query_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '18336' => { + 'Header' => undef, + 'Line' => '666', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_CRYPTO_KEY_SIZE_128', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_CRYPTO_KEY_SIZE_256', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5dv_crypto_key_size', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1834' => { + 'BaseType' => '356', + 'Name' => 'char[1]', + 'Size' => '1', + 'Type' => 'Array' + }, + '18365' => { + 'Header' => undef, + 'Line' => '671', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_CRYPTO_KEY_PURPOSE_AES_XTS', + 'value' => '0' + } + }, + 'Name' => 'enum mlx5dv_crypto_key_purpose', + 'Size' => '4', + 'Type' => 'Enum' + }, + '18388' => { + 'Header' => undef, + 'Line' => '675', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_DEK_STATE_READY', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_DEK_STATE_ERROR', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5dv_dek_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '18417' => { + 'Header' => undef, + 'Line' => '684', + 'Memb' => { + '0' => { + 'name' => 'key_size', + 'offset' => '0', + 'type' => '18336' + }, + '1' => { + 'name' => 'has_keytag', + 'offset' => '4', + 'type' => '2091' + }, + '2' => { + 'name' => 'key_purpose', + 'offset' => '8', + 'type' => '18365' + }, + '3' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '6312' + }, + '4' => { + 'name' => 'opaque', + 'offset' => '36', + 'type' => '978' + }, + '5' => { + 'name' => 'key', + 'offset' => '50', + 'type' => '18542' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '352', + 'type' => '2023' + }, + '7' => { + 'name' => 'crypto_login', + 'offset' => '360', + 'type' => '18586' + } + }, + 'Name' => 'struct mlx5dv_dek_init_attr', + 'Size' => '176', + 'Type' => 'Struct' + }, + '1850' => { + 'BaseType' => '1811', + 'Name' => '_IO_lock_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18542' => { + 'BaseType' => '356', + 'Name' => 'char[128]', + 'Size' => '128', + 'Type' => 'Array' + }, + '1855' => { + 'Name' => 'struct _IO_codecvt', + 'Type' => 'Struct' + }, + '18558' => { + 'Header' => undef, + 'Line' => '911', + 'Memb' => { + '0' => { + 'name' => 'devx_obj', + 'offset' => '0', + 'type' => '19197' + } + }, + 'Name' => 'struct mlx5dv_crypto_login_obj', + 'Size' => '8', + 'Type' => 'Struct' + }, + '18586' => { + 'BaseType' => '18558', + 'Name' => 'struct mlx5dv_crypto_login_obj*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18591' => { + 'Header' => undef, + 'Line' => '695', + 'Memb' => { + '0' => { + 'name' => 'state', + 'offset' => '0', + 'type' => '18388' + }, + '1' => { + 'name' => 'opaque', + 'offset' => '4', + 'type' => '978' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_dek_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '1860' => { + 'BaseType' => '1855', + 'Name' => 'struct _IO_codecvt*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18647' => { + 'Header' => undef, + 'Line' => '714', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'action_flags', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_flow_action_esp', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1865' => { + 'Name' => 'struct _IO_wide_data', + 'Type' => 'Struct' + }, + '18689' => { + 'Header' => undef, + 'Line' => '719', + 'Memb' => { + '0' => { + 'name' => 'match_sz', + 'offset' => '0', + 'type' => '419' + }, + '1' => { + 'name' => 'match_buf', + 'offset' => '8', + 'type' => '18731' + } + }, + 'Name' => 'struct mlx5dv_flow_match_parameters', + 'Size' => '8', + 'Type' => 'Struct' + }, + '1870' => { + 'BaseType' => '1865', + 'Name' => 'struct _IO_wide_data*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18731' => { + 'BaseType' => '2023', + 'Name' => 'uint64_t[]', + 'Size' => '8', + 'Type' => 'Array' + }, + '18746' => { + 'Header' => undef, + 'Line' => '728', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '10826' + }, + '1' => { + 'name' => 'flags', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'priority', + 'offset' => '8', + 'type' => '1989' + }, + '3' => { + 'name' => 'match_criteria_enable', + 'offset' => '16', + 'type' => '1977' + }, + '4' => { + 'name' => 'match_mask', + 'offset' => '22', + 'type' => '18858' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '36', + 'type' => '2023' + }, + '6' => { + 'name' => 'ft_type', + 'offset' => '50', + 'type' => '15276' + } + }, + 'Name' => 'struct mlx5dv_flow_matcher_attr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '1875' => { + 'BaseType' => '356', + 'Name' => 'char[20]', + 'Size' => '20', + 'Type' => 'Array' + }, + '188' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '18858' => { + 'BaseType' => '18689', + 'Name' => 'struct mlx5dv_flow_match_parameters*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18863' => { + 'Header' => undef, + 'Line' => '746', + 'Memb' => { + '0' => { + 'name' => 'ft_type', + 'offset' => '0', + 'type' => '15276' + }, + '1' => { + 'name' => 'priority', + 'offset' => '4', + 'type' => '1989' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_steering_anchor_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '18919' => { + 'Header' => undef, + 'Line' => '752', + 'Memb' => { + '0' => { + 'name' => 'id', + 'offset' => '0', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_steering_anchor', + 'Size' => '4', + 'Type' => 'Struct' + }, + '18946' => { + 'Header' => undef, + 'Line' => '761', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_FLOW_ACTION_DEST_IBV_QP', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_FLOW_ACTION_DROP', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5DV_FLOW_ACTION_IBV_COUNTER', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5DV_FLOW_ACTION_IBV_FLOW_ACTION', + 'value' => '3' + }, + '4' => { + 'name' => 'MLX5DV_FLOW_ACTION_TAG', + 'value' => '4' + }, + '5' => { + 'name' => 'MLX5DV_FLOW_ACTION_DEST_DEVX', + 'value' => '5' + }, + '6' => { + 'name' => 'MLX5DV_FLOW_ACTION_COUNTERS_DEVX', + 'value' => '6' + }, + '7' => { + 'name' => 'MLX5DV_FLOW_ACTION_DEFAULT_MISS', + 'value' => '7' + } + }, + 'Name' => 'enum mlx5dv_flow_action_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '19011' => { + 'Header' => undef, + 'Line' => '774', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '5100' + }, + '1' => { + 'name' => 'counter', + 'offset' => '0', + 'type' => '10939' + }, + '2' => { + 'name' => 'action', + 'offset' => '0', + 'type' => '13657' + }, + '3' => { + 'name' => 'tag_value', + 'offset' => '0', + 'type' => '2001' + }, + '4' => { + 'name' => 'obj', + 'offset' => '0', + 'type' => '19197' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '1903' => { + 'BaseType' => '248', + 'Header' => undef, + 'Line' => '63', + 'Name' => 'off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '19085' => { + 'Header' => undef, + 'Line' => '790', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'type', + 'offset' => '18', + 'type' => '27910' + }, + '3' => { + 'name' => 'object_id', + 'offset' => '22', + 'type' => '2001' + }, + '4' => { + 'name' => 'rx_icm_addr', + 'offset' => '36', + 'type' => '2023' + }, + '5' => { + 'name' => 'log_obj_range', + 'offset' => '50', + 'type' => '1977' + }, + '6' => { + 'name' => 'priv', + 'offset' => '64', + 'type' => '308' + } + }, + 'Name' => 'struct mlx5dv_devx_obj', + 'Size' => '48', + 'Type' => 'Struct' + }, + '1915' => { + 'BaseType' => '310', + 'Header' => undef, + 'Line' => '77', + 'Name' => 'ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '19197' => { + 'BaseType' => '19085', + 'Name' => 'struct mlx5dv_devx_obj*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '19202' => { + 'Header' => undef, + 'Line' => '772', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '18946' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '19011' + } + }, + 'Name' => 'struct mlx5dv_flow_action_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '19236' => { + 'Header' => undef, + 'Line' => '843', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'wqe_cnt', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'stride', + 'offset' => '18', + 'type' => '2001' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '1927' => { + 'BaseType' => '1799', + 'Name' => 'FILE*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '19289' => { + 'Header' => undef, + 'Line' => '848', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'wqe_cnt', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'stride', + 'offset' => '18', + 'type' => '2001' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '19342' => { + 'Header' => undef, + 'Line' => '853', + 'Memb' => { + '0' => { + 'name' => 'reg', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'size', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '19381' => { + 'Header' => undef, + 'Line' => '841', + 'Memb' => { + '0' => { + 'name' => 'dbrec', + 'offset' => '0', + 'type' => '19546' + }, + '1' => { + 'name' => 'sq', + 'offset' => '8', + 'type' => '19236' + }, + '10' => { + 'name' => 'tir_icm_addr', + 'offset' => '136', + 'type' => '2023' + }, + '2' => { + 'name' => 'rq', + 'offset' => '36', + 'type' => '19289' + }, + '3' => { + 'name' => 'bf', + 'offset' => '64', + 'type' => '19342' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '86', + 'type' => '2023' + }, + '5' => { + 'name' => 'uar_mmap_offset', + 'offset' => '100', + 'type' => '1903' + }, + '6' => { + 'name' => 'tirn', + 'offset' => '114', + 'type' => '2001' + }, + '7' => { + 'name' => 'tisn', + 'offset' => '118', + 'type' => '2001' + }, + '8' => { + 'name' => 'rqn', + 'offset' => '128', + 'type' => '2001' + }, + '9' => { + 'name' => 'sqn', + 'offset' => '132', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_qp', + 'Size' => '96', + 'Type' => 'Struct' + }, + '19546' => { + 'BaseType' => '2203', + 'Name' => '__be32*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '19551' => { + 'Header' => undef, + 'Line' => '866', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'dbrec', + 'offset' => '8', + 'type' => '19546' + }, + '2' => { + 'name' => 'cqe_cnt', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'cqe_size', + 'offset' => '32', + 'type' => '2001' + }, + '4' => { + 'name' => 'cq_uar', + 'offset' => '36', + 'type' => '308' + }, + '5' => { + 'name' => 'cqn', + 'offset' => '50', + 'type' => '2001' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_cq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '1961' => { + 'BaseType' => '1966', + 'Name' => 'void const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1966' => { + 'BaseType' => '1', + 'Name' => 'void const', + 'Type' => 'Const' + }, + '19663' => { + 'Header' => undef, + 'Line' => '880', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'dbrec', + 'offset' => '8', + 'type' => '19546' + }, + '2' => { + 'name' => 'stride', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'head', + 'offset' => '32', + 'type' => '2001' + }, + '4' => { + 'name' => 'tail', + 'offset' => '36', + 'type' => '2001' + }, + '5' => { + 'name' => 'comp_mask', + 'offset' => '50', + 'type' => '2023' + }, + '6' => { + 'name' => 'srqn', + 'offset' => '64', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_srq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '1967' => { + 'BaseType' => '363', + 'Name' => 'char const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1977' => { + 'BaseType' => '123', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '19775' => { + 'Header' => undef, + 'Line' => '890', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'dbrec', + 'offset' => '8', + 'type' => '19546' + }, + '2' => { + 'name' => 'wqe_cnt', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'stride', + 'offset' => '32', + 'type' => '2001' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '36', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_rwq', + 'Size' => '32', + 'Type' => 'Struct' + }, + '19859' => { + 'Header' => undef, + 'Line' => '898', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '15419' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_alloc_dm_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1989' => { + 'BaseType' => '147', + 'Header' => undef, + 'Line' => '25', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '19901' => { + 'Header' => undef, + 'Line' => '907', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '2023' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '2023' + }, + '3' => { + 'name' => 'remote_va', + 'offset' => '36', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_dm', + 'Size' => '32', + 'Type' => 'Struct' + }, + '19971' => { + 'Header' => undef, + 'Line' => '922', + 'Memb' => { + '0' => { + 'name' => 'av', + 'offset' => '0', + 'type' => '20180' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_ah', + 'Size' => '16', + 'Type' => 'Struct' + }, + '200' => { + 'BaseType' => '82', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2001' => { + 'BaseType' => '176', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '20012' => { + 'Header' => undef, + 'Line' => '1267', + 'Memb' => { + '0' => { + 'name' => 'key', + 'offset' => '0', + 'type' => '20903' + }, + '1' => { + 'name' => 'dqp_dct', + 'offset' => '8', + 'type' => '2203' + }, + '10' => { + 'name' => 'rgid', + 'offset' => '50', + 'type' => '2524' + }, + '2' => { + 'name' => 'stat_rate_sl', + 'offset' => '18', + 'type' => '1977' + }, + '3' => { + 'name' => 'fl_mlid', + 'offset' => '19', + 'type' => '1977' + }, + '4' => { + 'name' => 'rlid', + 'offset' => '20', + 'type' => '2191' + }, + '5' => { + 'name' => 'reserved0', + 'offset' => '22', + 'type' => '20749' + }, + '6' => { + 'name' => 'rmac', + 'offset' => '32', + 'type' => '10867' + }, + '7' => { + 'name' => 'tclass', + 'offset' => '38', + 'type' => '1977' + }, + '8' => { + 'name' => 'hop_limit', + 'offset' => '39', + 'type' => '1977' + }, + '9' => { + 'name' => 'grh_gid_fl', + 'offset' => '40', + 'type' => '2203' + } + }, + 'Name' => 'struct mlx5_wqe_av', + 'Size' => '48', + 'Type' => 'Struct' + }, + '20180' => { + 'BaseType' => '20012', + 'Name' => 'struct mlx5_wqe_av*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '20185' => { + 'Header' => undef, + 'Line' => '927', + 'Memb' => { + '0' => { + 'name' => 'pdn', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '20227' => { + 'Header' => undef, + 'Line' => '933', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '5100' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '20265' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '2023' => { + 'BaseType' => '200', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '20265' => { + 'BaseType' => '19381', + 'Name' => 'struct mlx5dv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '20270' => { + 'Header' => undef, + 'Line' => '937', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '4900' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '20308' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '20308' => { + 'BaseType' => '19551', + 'Name' => 'struct mlx5dv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '20313' => { + 'Header' => undef, + 'Line' => '941', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '5216' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '20351' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '20351' => { + 'BaseType' => '19663', + 'Name' => 'struct mlx5dv_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '20356' => { + 'Header' => undef, + 'Line' => '945', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '5415' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '20394' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '20394' => { + 'BaseType' => '19775', + 'Name' => 'struct mlx5dv_rwq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '20399' => { + 'Header' => undef, + 'Line' => '949', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '2979' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '20437' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '20437' => { + 'BaseType' => '19901', + 'Name' => 'struct mlx5dv_dm*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '20442' => { + 'Header' => undef, + 'Line' => '953', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '8231' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '20480' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '20480' => { + 'BaseType' => '19971', + 'Name' => 'struct mlx5dv_ah*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '20485' => { + 'Header' => undef, + 'Line' => '957', + 'Memb' => { + '0' => { + 'name' => 'in', + 'offset' => '0', + 'type' => '6312' + }, + '1' => { + 'name' => 'out', + 'offset' => '8', + 'type' => '20523' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '20523' => { + 'BaseType' => '20185', + 'Name' => 'struct mlx5dv_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '20528' => { + 'Header' => undef, + 'Line' => '932', + 'Memb' => { + '0' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '20227' + }, + '1' => { + 'name' => 'cq', + 'offset' => '22', + 'type' => '20270' + }, + '2' => { + 'name' => 'srq', + 'offset' => '50', + 'type' => '20313' + }, + '3' => { + 'name' => 'rwq', + 'offset' => '72', + 'type' => '20356' + }, + '4' => { + 'name' => 'dm', + 'offset' => '100', + 'type' => '20399' + }, + '5' => { + 'name' => 'ah', + 'offset' => '128', + 'type' => '20442' + }, + '6' => { + 'name' => 'pd', + 'offset' => '150', + 'type' => '20485' + } + }, + 'Name' => 'struct mlx5dv_obj', + 'Size' => '112', + 'Type' => 'Struct' + }, + '20635' => { + 'Header' => undef, + 'Line' => '977', + 'Memb' => { + '0' => { + 'name' => 'single_stride_log_num_of_bytes', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'single_wqe_log_num_of_strides', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'two_byte_shift_en', + 'offset' => '8', + 'type' => '1977' + } + }, + 'Name' => 'struct mlx5dv_striding_rq_init_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '20691' => { + 'Header' => undef, + 'Line' => '983', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'striding_rq_attrs', + 'offset' => '8', + 'type' => '20635' + } + }, + 'Name' => 'struct mlx5dv_wq_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '20749' => { + 'BaseType' => '1977', + 'Name' => 'uint8_t[4]', + 'Size' => '4', + 'Type' => 'Array' + }, + '20864' => { + 'Header' => undef, + 'Line' => '1269', + 'Memb' => { + '0' => { + 'name' => 'qkey', + 'offset' => '0', + 'type' => '2203' + }, + '1' => { + 'name' => 'reserved', + 'offset' => '4', + 'type' => '2203' + } + }, + 'Size' => '8', + 'Type' => 'Struct' + }, + '20903' => { + 'Header' => undef, + 'Line' => '1268', + 'Memb' => { + '0' => { + 'name' => 'qkey', + 'offset' => '0', + 'type' => '20864' + }, + '1' => { + 'name' => 'dc_key', + 'offset' => '0', + 'type' => '2215' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '2091' => { + 'Name' => '_Bool', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '20939' => { + 'Header' => undef, + 'Line' => '1598', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_CTX_ATTR_BUF_ALLOCATORS', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5dv_set_ctx_attr_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2103' => { + 'BaseType' => '171', + 'Header' => undef, + 'Line' => '46', + 'Name' => 'atomic_int', + 'Type' => 'Typedef' + }, + '21064' => { + 'Header' => undef, + 'Line' => '1622', + 'Memb' => { + '0' => { + 'name' => 'nsec', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'last_cycles', + 'offset' => '8', + 'type' => '2023' + }, + '2' => { + 'name' => 'frac', + 'offset' => '22', + 'type' => '2023' + }, + '3' => { + 'name' => 'mult', + 'offset' => '36', + 'type' => '2001' + }, + '4' => { + 'name' => 'shift', + 'offset' => '40', + 'type' => '2001' + }, + '5' => { + 'name' => 'mask', + 'offset' => '50', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_clock_info', + 'Size' => '40', + 'Type' => 'Struct' + }, + '21162' => { + 'Header' => undef, + 'Line' => '1744', + 'Memb' => { + '0' => { + 'name' => 'umem_id', + 'offset' => '0', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_devx_umem', + 'Size' => '4', + 'Type' => 'Struct' + }, + '21190' => { + 'Header' => undef, + 'Line' => '1755', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'size', + 'offset' => '8', + 'type' => '419' + }, + '2' => { + 'name' => 'access', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'pgsz_bitmap', + 'offset' => '36', + 'type' => '2023' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '50', + 'type' => '2023' + }, + '5' => { + 'name' => 'dmabuf_fd', + 'offset' => '64', + 'type' => '159' + } + }, + 'Name' => 'struct mlx5dv_devx_umem_in', + 'Size' => '48', + 'Type' => 'Struct' + }, + '21288' => { + 'Header' => undef, + 'Line' => '1769', + 'Memb' => { + '0' => { + 'name' => 'reg_addr', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'base_addr', + 'offset' => '8', + 'type' => '308' + }, + '2' => { + 'name' => 'page_id', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'mmap_off', + 'offset' => '36', + 'type' => '1903' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '50', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_devx_uar', + 'Size' => '40', + 'Type' => 'Struct' + }, + '21372' => { + 'Header' => undef, + 'Line' => '1782', + 'Memb' => { + '0' => { + 'name' => 'page_id', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'length', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'mmap_off', + 'offset' => '8', + 'type' => '1903' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_var', + 'Size' => '24', + 'Type' => 'Struct' + }, + '2143' => { + 'BaseType' => '46', + 'Header' => undef, + 'Line' => '21', + 'Name' => '__u8', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '21442' => { + 'Header' => undef, + 'Line' => '1819', + 'Memb' => { + '0' => { + 'name' => 'fd', + 'offset' => '0', + 'type' => '159' + } + }, + 'Name' => 'struct mlx5dv_devx_cmd_comp', + 'Size' => '4', + 'Type' => 'Struct' + }, + '21469' => { + 'Header' => undef, + 'Line' => '1835', + 'Memb' => { + '0' => { + 'name' => 'fd', + 'offset' => '0', + 'type' => '159' + } + }, + 'Name' => 'struct mlx5dv_devx_event_channel', + 'Size' => '4', + 'Type' => 'Struct' + }, + '21496' => { + 'Header' => undef, + 'Line' => '2129', + 'Memb' => { + '0' => { + 'name' => 'index', + 'offset' => '0', + 'type' => '1989' + } + }, + 'Name' => 'struct mlx5dv_pp', + 'Size' => '2', + 'Type' => 'Struct' + }, + '21524' => { + 'Header' => undef, + 'Line' => '2155', + 'Memb' => { + '0' => { + 'name' => 'parent', + 'offset' => '0', + 'type' => '21655' + }, + '1' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'bw_share', + 'offset' => '18', + 'type' => '2001' + }, + '3' => { + 'name' => 'max_avg_bw', + 'offset' => '22', + 'type' => '2001' + }, + '4' => { + 'name' => 'comp_mask', + 'offset' => '36', + 'type' => '2023' + } + }, + 'Name' => 'struct mlx5dv_sched_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '2155' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '24', + 'Name' => '__u16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '21608' => { + 'BaseType' => '21524', + 'Name' => 'struct mlx5dv_sched_attr const', + 'Size' => '32', + 'Type' => 'Const' + }, + '21613' => { + 'Header' => undef, + 'Line' => '933', + 'Memb' => { + '0' => { + 'name' => 'parent', + 'offset' => '0', + 'type' => '21655' + }, + '1' => { + 'name' => 'obj', + 'offset' => '8', + 'type' => '19197' + } + }, + 'Name' => 'struct mlx5dv_sched_node', + 'Size' => '16', + 'Type' => 'Struct' + }, + '21655' => { + 'BaseType' => '21613', + 'Name' => 'struct mlx5dv_sched_node*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '21660' => { + 'Header' => undef, + 'Line' => '2198', + 'Memb' => { + '0' => { + 'name' => 'vector', + 'offset' => '0', + 'type' => '159' + }, + '1' => { + 'name' => 'fd', + 'offset' => '4', + 'type' => '159' + } + }, + 'Name' => 'struct mlx5dv_devx_msi_vector', + 'Size' => '8', + 'Type' => 'Struct' + }, + '2167' => { + 'BaseType' => '70', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '21701' => { + 'Header' => undef, + 'Line' => '2208', + 'Memb' => { + '0' => { + 'name' => 'vaddr', + 'offset' => '0', + 'type' => '308' + } + }, + 'Name' => 'struct mlx5dv_devx_eq', + 'Size' => '8', + 'Type' => 'Struct' + }, + '2179' => { + 'BaseType' => '443', + 'Header' => undef, + 'Line' => '31', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '21809' => { + 'Header' => undef, + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'MLX5_ALLOC_TYPE_ANON', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5_ALLOC_TYPE_HUGE', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5_ALLOC_TYPE_CONTIG', + 'value' => '2' + }, + '3' => { + 'name' => 'MLX5_ALLOC_TYPE_PREFER_HUGE', + 'value' => '3' + }, + '4' => { + 'name' => 'MLX5_ALLOC_TYPE_PREFER_CONTIG', + 'value' => '4' + }, + '5' => { + 'name' => 'MLX5_ALLOC_TYPE_EXTERNAL', + 'value' => '5' + }, + '6' => { + 'name' => 'MLX5_ALLOC_TYPE_CUSTOM', + 'value' => '6' + }, + '7' => { + 'name' => 'MLX5_ALLOC_TYPE_ALL', + 'value' => '7' + } + }, + 'Name' => 'enum mlx5_alloc_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2191' => { + 'BaseType' => '2155', + 'Header' => undef, + 'Line' => '25', + 'Name' => '__be16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '21963' => { + 'Header' => undef, + 'Line' => '243', + 'Memb' => { + '0' => { + 'name' => 'lock', + 'offset' => '0', + 'type' => '994' + }, + '1' => { + 'name' => 'in_use', + 'offset' => '4', + 'type' => '159' + }, + '2' => { + 'name' => 'need_lock', + 'offset' => '8', + 'type' => '159' + } + }, + 'Name' => 'struct mlx5_spinlock', + 'Size' => '12', + 'Type' => 'Struct' + }, + '2203' => { + 'BaseType' => '2167', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2215' => { + 'BaseType' => '2179', + 'Header' => undef, + 'Line' => '29', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '22291' => { + 'BaseType' => '82', + 'Name' => 'unsigned long*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2243' => { + 'Header' => undef, + 'Line' => '146', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_KEYMAT_AES_GCM', + 'value' => '0' + } + }, + 'Name' => 'enum ib_uverbs_flow_action_esp_keymat', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2267' => { + 'Header' => undef, + 'Line' => '165', + 'Memb' => { + '0' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IB_UVERBS_FLOW_ACTION_ESP_REPLAY_BMP', + 'value' => '1' + } + }, + 'Name' => 'enum ib_uverbs_flow_action_esp_replay', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2297' => { + 'Header' => undef, + 'Line' => '191', + 'Memb' => { + '0' => { + 'name' => 'val_ptr', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'val_ptr_data_u64', + 'offset' => '0', + 'type' => '2179' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '2326' => { + 'Header' => undef, + 'Line' => '192', + 'Memb' => { + '0' => { + 'name' => 'next_ptr', + 'offset' => '0', + 'type' => '2406' + }, + '1' => { + 'name' => 'next_ptr_data_u64', + 'offset' => '0', + 'type' => '2179' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '2355' => { + 'Header' => undef, + 'Line' => '187', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '2297' + }, + '1' => { + 'name' => 'unnamed1', + 'offset' => '8', + 'type' => '2326' + }, + '2' => { + 'name' => 'len', + 'offset' => '22', + 'type' => '2155' + }, + '3' => { + 'name' => 'type', + 'offset' => '24', + 'type' => '2155' + } + }, + 'Name' => 'struct ib_uverbs_flow_action_esp_encap', + 'Size' => '24', + 'Type' => 'Struct' + }, + '2406' => { + 'BaseType' => '2355', + 'Name' => 'struct ib_uverbs_flow_action_esp_encap*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2411' => { + 'Header' => undef, + 'Line' => '197', + 'Memb' => { + '0' => { + 'name' => 'spi', + 'offset' => '0', + 'type' => '2167' + }, + '1' => { + 'name' => 'seq', + 'offset' => '4', + 'type' => '2167' + }, + '2' => { + 'name' => 'tfc_pad', + 'offset' => '8', + 'type' => '2167' + }, + '3' => { + 'name' => 'flags', + 'offset' => '18', + 'type' => '2167' + }, + '4' => { + 'name' => 'hard_limit_pkts', + 'offset' => '22', + 'type' => '2179' + } + }, + 'Name' => 'struct ib_uverbs_flow_action_esp', + 'Size' => '24', + 'Type' => 'Struct' + }, + '248' => { + 'BaseType' => '188', + 'Header' => undef, + 'Line' => '152', + 'Name' => '__off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2524' => { + 'BaseType' => '1977', + 'Name' => 'uint8_t[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '25369' => { + 'Header' => undef, + 'Line' => '612', + 'Memb' => { + '0' => { + 'name' => 'reg', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'need_lock', + 'offset' => '8', + 'type' => '159' + }, + '10' => { + 'name' => 'count', + 'offset' => '278', + 'type' => '2001' + }, + '11' => { + 'name' => 'uar_entry', + 'offset' => '288', + 'type' => '14357' + }, + '12' => { + 'name' => 'uar_handle', + 'offset' => '310', + 'type' => '2001' + }, + '13' => { + 'name' => 'length', + 'offset' => '320', + 'type' => '2001' + }, + '14' => { + 'name' => 'page_id', + 'offset' => '324', + 'type' => '2001' + }, + '2' => { + 'name' => 'lock', + 'offset' => '18', + 'type' => '21963' + }, + '3' => { + 'name' => 'offset', + 'offset' => '36', + 'type' => '70' + }, + '4' => { + 'name' => 'buf_size', + 'offset' => '40', + 'type' => '70' + }, + '5' => { + 'name' => 'uuarn', + 'offset' => '50', + 'type' => '70' + }, + '6' => { + 'name' => 'uar_mmap_offset', + 'offset' => '64', + 'type' => '1903' + }, + '7' => { + 'name' => 'uar', + 'offset' => '72', + 'type' => '308' + }, + '8' => { + 'name' => 'bfreg_dyn_index', + 'offset' => '86', + 'type' => '2001' + }, + '9' => { + 'name' => 'devx_uar', + 'offset' => '100', + 'type' => '27766' + } + }, + 'Name' => 'struct mlx5_bf', + 'Size' => '152', + 'Type' => 'Struct' + }, + '2540' => { + 'Header' => undef, + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '25671' => { + 'BaseType' => '25369', + 'Name' => 'struct mlx5_bf*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '260' => { + 'BaseType' => '188', + 'Header' => undef, + 'Line' => '153', + 'Name' => '__off64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2605' => { + 'Header' => undef, + 'Line' => '105', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2658' => { + 'Header' => undef, + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => 'IBV_ATOMIC_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_ATOMIC_HCA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_ATOMIC_GLOB', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_atomic_cap', + 'Size' => '4', + 'Type' => 'Enum' + }, + '26884' => { + 'Header' => undef, + 'Line' => '427', + 'Memb' => { + '0' => { + 'name' => 'shmid', + 'offset' => '0', + 'type' => '159' + }, + '1' => { + 'name' => 'shmaddr', + 'offset' => '8', + 'type' => '308' + }, + '2' => { + 'name' => 'bitmap', + 'offset' => '22', + 'type' => '22291' + }, + '3' => { + 'name' => 'bmp_size', + 'offset' => '36', + 'type' => '82' + }, + '4' => { + 'name' => 'entry', + 'offset' => '50', + 'type' => '14357' + } + }, + 'Name' => 'struct mlx5_hugetlb_mem', + 'Size' => '48', + 'Type' => 'Struct' + }, + '2694' => { + 'Header' => undef, + 'Line' => '160', + 'Memb' => { + '0' => { + 'name' => 'length', + 'offset' => '0', + 'type' => '419' + }, + '1' => { + 'name' => 'log_align_req', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '18', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_alloc_dm_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '26968' => { + 'Header' => undef, + 'Line' => '435', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '419' + }, + '2' => { + 'name' => 'base', + 'offset' => '22', + 'type' => '159' + }, + '3' => { + 'name' => 'hmem', + 'offset' => '36', + 'type' => '27094' + }, + '4' => { + 'name' => 'type', + 'offset' => '50', + 'type' => '21809' + }, + '5' => { + 'name' => 'resource_type', + 'offset' => '64', + 'type' => '2023' + }, + '6' => { + 'name' => 'req_alignment', + 'offset' => '72', + 'type' => '419' + }, + '7' => { + 'name' => 'mparent_domain', + 'offset' => '86', + 'type' => '27183' + } + }, + 'Name' => 'struct mlx5_buf', + 'Size' => '64', + 'Type' => 'Struct' + }, + '27094' => { + 'BaseType' => '26884', + 'Name' => 'struct mlx5_hugetlb_mem*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '27099' => { + 'Header' => undef, + 'Line' => '464', + 'Memb' => { + '0' => { + 'name' => 'mpd', + 'offset' => '0', + 'type' => '27296' + }, + '1' => { + 'name' => 'mtd', + 'offset' => '136', + 'type' => '27377' + }, + '2' => { + 'name' => 'alloc', + 'offset' => '150', + 'type' => '12529' + }, + '3' => { + 'name' => 'free', + 'offset' => '260', + 'type' => '12560' + }, + '4' => { + 'name' => 'pd_context', + 'offset' => '274', + 'type' => '308' + } + }, + 'Name' => 'struct mlx5_parent_domain', + 'Size' => '120', + 'Type' => 'Struct' + }, + '27183' => { + 'BaseType' => '27099', + 'Name' => 'struct mlx5_parent_domain*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '27188' => { + 'Header' => undef, + 'Line' => '446', + 'Memb' => { + '0' => { + 'name' => 'ibv_td', + 'offset' => '0', + 'type' => '6201' + }, + '1' => { + 'name' => 'bf', + 'offset' => '8', + 'type' => '25671' + }, + '2' => { + 'name' => 'refcount', + 'offset' => '22', + 'type' => '2103' + } + }, + 'Name' => 'struct mlx5_td', + 'Size' => '24', + 'Type' => 'Struct' + }, + '27243' => { + 'Header' => undef, + 'Line' => '457', + 'Memb' => { + '0' => { + 'name' => 'opaque_buf', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'opaque_mr', + 'offset' => '8', + 'type' => '6126' + }, + '2' => { + 'name' => 'opaque_mr_mutex', + 'offset' => '22', + 'type' => '893' + } + }, + 'Size' => '56', + 'Type' => 'Struct' + }, + '27296' => { + 'Header' => undef, + 'Line' => '452', + 'Memb' => { + '0' => { + 'name' => 'ibv_pd', + 'offset' => '0', + 'type' => '6131' + }, + '1' => { + 'name' => 'pdn', + 'offset' => '22', + 'type' => '2001' + }, + '2' => { + 'name' => 'refcount', + 'offset' => '32', + 'type' => '2103' + }, + '3' => { + 'name' => 'mprotection_domain', + 'offset' => '36', + 'type' => '27372' + }, + '4' => { + 'name' => 'unnamed0', + 'offset' => '50', + 'type' => '27243' + } + }, + 'Name' => 'struct mlx5_pd', + 'Size' => '88', + 'Type' => 'Struct' + }, + '27372' => { + 'BaseType' => '27296', + 'Name' => 'struct mlx5_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '27377' => { + 'BaseType' => '27188', + 'Name' => 'struct mlx5_td*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2747' => { + 'Header' => undef, + 'Line' => '170', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'memcpy_to_dm', + 'offset' => '8', + 'type' => '2984' + }, + '2' => { + 'name' => 'memcpy_from_dm', + 'offset' => '22', + 'type' => '3019' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '36', + 'type' => '2001' + }, + '4' => { + 'name' => 'handle', + 'offset' => '40', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_dm', + 'Size' => '32', + 'Type' => 'Struct' + }, + '27761' => { + 'BaseType' => '70', + 'Name' => 'unsigned int*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '27766' => { + 'Header' => undef, + 'Line' => '607', + 'Memb' => { + '0' => { + 'name' => 'dv_devx_uar', + 'offset' => '0', + 'type' => '21288' + }, + '1' => { + 'name' => 'context', + 'offset' => '64', + 'type' => '2944' + } + }, + 'Name' => 'struct mlx5_devx_uar', + 'Size' => '48', + 'Type' => 'Struct' + }, + '27813' => { + 'Header' => undef, + 'Line' => '764', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_flow_matcher', + 'Size' => '16', + 'Type' => 'Struct' + }, + '27910' => { + 'Header' => undef, + 'Line' => '775', + 'Memb' => { + '0' => { + 'name' => 'MLX5_DEVX_FLOW_TABLE', + 'value' => '1' + }, + '1' => { + 'name' => 'MLX5_DEVX_FLOW_COUNTER', + 'value' => '2' + }, + '10' => { + 'name' => 'MLX5_DEVX_ASO_FLOW_METER', + 'value' => '11' + }, + '11' => { + 'name' => 'MLX5_DEVX_ASO_CT', + 'value' => '12' + }, + '2' => { + 'name' => 'MLX5_DEVX_FLOW_METER', + 'value' => '3' + }, + '3' => { + 'name' => 'MLX5_DEVX_QP', + 'value' => '4' + }, + '4' => { + 'name' => 'MLX5_DEVX_PKT_REFORMAT_CTX', + 'value' => '5' + }, + '5' => { + 'name' => 'MLX5_DEVX_TIR', + 'value' => '6' + }, + '6' => { + 'name' => 'MLX5_DEVX_FLOW_GROUP', + 'value' => '7' + }, + '7' => { + 'name' => 'MLX5_DEVX_FLOW_TABLE_ENTRY', + 'value' => '8' + }, + '8' => { + 'name' => 'MLX5_DEVX_FLOW_SAMPLER', + 'value' => '9' + }, + '9' => { + 'name' => 'MLX5_DEVX_ASO_FIRST_HIT', + 'value' => '10' + } + }, + 'Name' => 'enum mlx5_devx_obj_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '282356' => { + 'Header' => undef, + 'Line' => '1931', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_DR_DOMAIN_TYPE_NIC_RX', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_DR_DOMAIN_TYPE_NIC_TX', + 'value' => '1' + }, + '2' => { + 'name' => 'MLX5DV_DR_DOMAIN_TYPE_FDB', + 'value' => '2' + } + }, + 'Name' => 'enum mlx5dv_dr_domain_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '282391' => { + 'Header' => undef, + 'Line' => '1943', + 'Memb' => { + '0' => { + 'name' => 'next_table', + 'offset' => '0', + 'type' => '282613' + }, + '1' => { + 'name' => 'active', + 'offset' => '8', + 'type' => '1977' + }, + '2' => { + 'name' => 'reg_c_index', + 'offset' => '9', + 'type' => '1977' + }, + '3' => { + 'name' => 'flow_meter_parameter_sz', + 'offset' => '22', + 'type' => '419' + }, + '4' => { + 'name' => 'flow_meter_parameter', + 'offset' => '36', + 'type' => '308' + } + }, + 'Name' => 'struct mlx5dv_dr_flow_meter_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '282475' => { + 'Header' => undef, + 'Line' => '1151', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'rx', + 'offset' => '8', + 'type' => '302784' + }, + '2' => { + 'name' => 'tx', + 'offset' => '36', + 'type' => '302784' + }, + '3' => { + 'name' => 'level', + 'offset' => '64', + 'type' => '2001' + }, + '4' => { + 'name' => 'table_type', + 'offset' => '68', + 'type' => '2001' + }, + '5' => { + 'name' => 'matcher_list', + 'offset' => '72', + 'type' => '14402' + }, + '6' => { + 'name' => 'devx_obj', + 'offset' => '100', + 'type' => '19197' + }, + '7' => { + 'name' => 'refcount', + 'offset' => '114', + 'type' => '2103' + }, + '8' => { + 'name' => 'tbl_list', + 'offset' => '128', + 'type' => '14357' + } + }, + 'Name' => 'struct mlx5dv_dr_table', + 'Size' => '96', + 'Type' => 'Struct' + }, + '2826' => { + 'Header' => undef, + 'Line' => '2035', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '11333' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '11489' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '612', + 'type' => '159' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '616', + 'type' => '159' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '626', + 'type' => '159' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '640', + 'type' => '893' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '800', + 'type' => '308' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '282613' => { + 'BaseType' => '282475', + 'Name' => 'struct mlx5dv_dr_table*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '282618' => { + 'Header' => undef, + 'Line' => '1951', + 'Memb' => { + '0' => { + 'name' => 'sample_ratio', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'default_next_table', + 'offset' => '8', + 'type' => '282613' + }, + '2' => { + 'name' => 'num_sample_actions', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'sample_actions', + 'offset' => '36', + 'type' => '282750' + }, + '4' => { + 'name' => 'action', + 'offset' => '50', + 'type' => '2215' + } + }, + 'Name' => 'struct mlx5dv_dr_flow_sampler_attr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '282702' => { + 'Header' => undef, + 'Line' => '1242', + 'Memb' => { + '0' => { + 'name' => 'action_type', + 'offset' => '0', + 'type' => '295271' + }, + '1' => { + 'name' => 'refcount', + 'offset' => '4', + 'type' => '2103' + }, + '2' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '304575' + } + }, + 'Name' => 'struct mlx5dv_dr_action', + 'Size' => '80', + 'Type' => 'Struct' + }, + '282750' => { + 'BaseType' => '282755', + 'Name' => 'struct mlx5dv_dr_action**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '282755' => { + 'BaseType' => '282702', + 'Name' => 'struct mlx5dv_dr_action*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '282783' => { + 'Header' => undef, + 'Line' => '2028', + 'Memb' => { + '0' => { + 'name' => 'MLX5DV_DR_ACTION_DEST', + 'value' => '0' + }, + '1' => { + 'name' => 'MLX5DV_DR_ACTION_DEST_REFORMAT', + 'value' => '1' + } + }, + 'Name' => 'enum mlx5dv_dr_action_dest_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '282812' => { + 'Header' => undef, + 'Line' => '2033', + 'Memb' => { + '0' => { + 'name' => 'reformat', + 'offset' => '0', + 'type' => '282755' + }, + '1' => { + 'name' => 'dest', + 'offset' => '8', + 'type' => '282755' + } + }, + 'Name' => 'struct mlx5dv_dr_action_dest_reformat', + 'Size' => '16', + 'Type' => 'Struct' + }, + '282854' => { + 'Header' => undef, + 'Line' => '2040', + 'Memb' => { + '0' => { + 'name' => 'dest', + 'offset' => '0', + 'type' => '282755' + }, + '1' => { + 'name' => 'dest_reformat', + 'offset' => '0', + 'type' => '282891' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '282891' => { + 'BaseType' => '282812', + 'Name' => 'struct mlx5dv_dr_action_dest_reformat*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '282896' => { + 'Header' => undef, + 'Line' => '2038', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '282783' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '282854' + } + }, + 'Name' => 'struct mlx5dv_dr_action_dest_attr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '28751' => { + 'Header' => undef, + 'Line' => '938', + 'Memb' => { + '0' => { + 'name' => 'parent', + 'offset' => '0', + 'type' => '21655' + }, + '1' => { + 'name' => 'obj', + 'offset' => '8', + 'type' => '19197' + } + }, + 'Name' => 'struct mlx5dv_sched_leaf', + 'Size' => '16', + 'Type' => 'Struct' + }, + '28793' => { + 'BaseType' => '28751', + 'Name' => 'struct mlx5dv_sched_leaf const', + 'Size' => '16', + 'Type' => 'Const' + }, + '28933' => { + 'Name' => 'int(*)(struct mlx5dv_devx_obj*)', + 'Param' => { + '0' => { + 'type' => '19197' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '29183' => { + 'BaseType' => '21442', + 'Name' => 'struct mlx5dv_devx_cmd_comp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29229' => { + 'BaseType' => '21469', + 'Name' => 'struct mlx5dv_devx_event_channel*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29290' => { + 'BaseType' => '1989', + 'Name' => 'uint16_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29405' => { + 'BaseType' => '15366', + 'Name' => 'struct mlx5_ib_uapi_devx_async_cmd_hdr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2944' => { + 'BaseType' => '2826', + 'Name' => 'struct ibv_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29440' => { + 'BaseType' => '15485', + 'Name' => 'struct mlx5_ib_uapi_devx_async_event_hdr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29470' => { + 'BaseType' => '21288', + 'Name' => 'struct mlx5dv_devx_uar*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '294915' => { + 'Header' => undef, + 'Line' => '67', + 'Memb' => { + '0' => { + 'name' => 'DR_CHUNK_SIZE_1', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_CHUNK_SIZE_MIN', + 'value' => '0' + }, + '10' => { + 'name' => 'DR_CHUNK_SIZE_512', + 'value' => '9' + }, + '11' => { + 'name' => 'DR_CHUNK_SIZE_1K', + 'value' => '10' + }, + '12' => { + 'name' => 'DR_CHUNK_SIZE_2K', + 'value' => '11' + }, + '13' => { + 'name' => 'DR_CHUNK_SIZE_4K', + 'value' => '12' + }, + '14' => { + 'name' => 'DR_CHUNK_SIZE_8K', + 'value' => '13' + }, + '15' => { + 'name' => 'DR_CHUNK_SIZE_16K', + 'value' => '14' + }, + '16' => { + 'name' => 'DR_CHUNK_SIZE_32K', + 'value' => '15' + }, + '17' => { + 'name' => 'DR_CHUNK_SIZE_64K', + 'value' => '16' + }, + '18' => { + 'name' => 'DR_CHUNK_SIZE_128K', + 'value' => '17' + }, + '19' => { + 'name' => 'DR_CHUNK_SIZE_256K', + 'value' => '18' + }, + '2' => { + 'name' => 'DR_CHUNK_SIZE_2', + 'value' => '1' + }, + '20' => { + 'name' => 'DR_CHUNK_SIZE_512K', + 'value' => '19' + }, + '21' => { + 'name' => 'DR_CHUNK_SIZE_1024K', + 'value' => '20' + }, + '22' => { + 'name' => 'DR_CHUNK_SIZE_2048K', + 'value' => '21' + }, + '23' => { + 'name' => 'DR_CHUNK_SIZE_4096K', + 'value' => '22' + }, + '24' => { + 'name' => 'DR_CHUNK_SIZE_8192K', + 'value' => '23' + }, + '25' => { + 'name' => 'DR_CHUNK_SIZE_16384K', + 'value' => '24' + }, + '26' => { + 'name' => 'DR_CHUNK_SIZE_MAX', + 'value' => '25' + }, + '3' => { + 'name' => 'DR_CHUNK_SIZE_4', + 'value' => '2' + }, + '4' => { + 'name' => 'DR_CHUNK_SIZE_8', + 'value' => '3' + }, + '5' => { + 'name' => 'DR_CHUNK_SIZE_16', + 'value' => '4' + }, + '6' => { + 'name' => 'DR_CHUNK_SIZE_32', + 'value' => '5' + }, + '7' => { + 'name' => 'DR_CHUNK_SIZE_64', + 'value' => '6' + }, + '8' => { + 'name' => 'DR_CHUNK_SIZE_128', + 'value' => '7' + }, + '9' => { + 'name' => 'DR_CHUNK_SIZE_256', + 'value' => '8' + } + }, + 'Name' => 'enum dr_icm_chunk_size', + 'Size' => '4', + 'Type' => 'Enum' + }, + '295095' => { + 'Header' => undef, + 'Line' => '97', + 'Memb' => { + '0' => { + 'name' => 'DR_ICM_TYPE_STE', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_ICM_TYPE_MODIFY_ACTION', + 'value' => '1' + }, + '2' => { + 'name' => 'DR_ICM_TYPE_MODIFY_HDR_PTRN', + 'value' => '2' + }, + '3' => { + 'name' => 'DR_ICM_TYPE_MAX', + 'value' => '3' + } + }, + 'Name' => 'enum dr_icm_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '29526' => { + 'BaseType' => '21162', + 'Name' => 'struct mlx5dv_devx_umem*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '295271' => { + 'Header' => undef, + 'Line' => '167', + 'Memb' => { + '0' => { + 'name' => 'DR_ACTION_TYP_TNL_L2_TO_L2', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_ACTION_TYP_L2_TO_TNL_L2', + 'value' => '1' + }, + '10' => { + 'name' => 'DR_ACTION_TYP_VPORT', + 'value' => '10' + }, + '11' => { + 'name' => 'DR_ACTION_TYP_METER', + 'value' => '11' + }, + '12' => { + 'name' => 'DR_ACTION_TYP_MISS', + 'value' => '12' + }, + '13' => { + 'name' => 'DR_ACTION_TYP_SAMPLER', + 'value' => '13' + }, + '14' => { + 'name' => 'DR_ACTION_TYP_DEST_ARRAY', + 'value' => '14' + }, + '15' => { + 'name' => 'DR_ACTION_TYP_POP_VLAN', + 'value' => '15' + }, + '16' => { + 'name' => 'DR_ACTION_TYP_PUSH_VLAN', + 'value' => '16' + }, + '17' => { + 'name' => 'DR_ACTION_TYP_ASO_FIRST_HIT', + 'value' => '17' + }, + '18' => { + 'name' => 'DR_ACTION_TYP_ASO_FLOW_METER', + 'value' => '18' + }, + '19' => { + 'name' => 'DR_ACTION_TYP_ASO_CT', + 'value' => '19' + }, + '2' => { + 'name' => 'DR_ACTION_TYP_TNL_L3_TO_L2', + 'value' => '2' + }, + '20' => { + 'name' => 'DR_ACTION_TYP_ROOT_FT', + 'value' => '20' + }, + '21' => { + 'name' => 'DR_ACTION_TYP_MAX', + 'value' => '21' + }, + '3' => { + 'name' => 'DR_ACTION_TYP_L2_TO_TNL_L3', + 'value' => '3' + }, + '4' => { + 'name' => 'DR_ACTION_TYP_DROP', + 'value' => '4' + }, + '5' => { + 'name' => 'DR_ACTION_TYP_QP', + 'value' => '5' + }, + '6' => { + 'name' => 'DR_ACTION_TYP_FT', + 'value' => '6' + }, + '7' => { + 'name' => 'DR_ACTION_TYP_CTR', + 'value' => '7' + }, + '8' => { + 'name' => 'DR_ACTION_TYP_TAG', + 'value' => '8' + }, + '9' => { + 'name' => 'DR_ACTION_TYP_MODIFY_HDR', + 'value' => '9' + } + }, + 'Name' => 'enum dr_action_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '295421' => { + 'Header' => undef, + 'Line' => '226', + 'Memb' => { + '0' => { + 'name' => 'hw_ste', + 'offset' => '0', + 'type' => '7307' + }, + '1' => { + 'name' => 'refcount', + 'offset' => '8', + 'type' => '2103' + }, + '2' => { + 'name' => 'miss_list_node', + 'offset' => '22', + 'type' => '14357' + }, + '3' => { + 'name' => 'htbl', + 'offset' => '50', + 'type' => '295707' + }, + '4' => { + 'name' => 'next_htbl', + 'offset' => '64', + 'type' => '295707' + }, + '5' => { + 'name' => 'rule_rx_tx', + 'offset' => '72', + 'type' => '295768' + }, + '6' => { + 'name' => 'ste_chain_location', + 'offset' => '86', + 'type' => '1977' + }, + '7' => { + 'name' => 'size', + 'offset' => '87', + 'type' => '1977' + } + }, + 'Name' => 'struct dr_ste', + 'Size' => '64', + 'Type' => 'Struct' + }, + '295539' => { + 'Header' => undef, + 'Line' => '262', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '295813' + }, + '1' => { + 'name' => 'lu_type', + 'offset' => '4', + 'type' => '1989' + }, + '10' => { + 'name' => 'ctrl', + 'offset' => '100', + 'type' => '295773' + }, + '2' => { + 'name' => 'byte_mask', + 'offset' => '6', + 'type' => '1989' + }, + '3' => { + 'name' => 'refcount', + 'offset' => '8', + 'type' => '2103' + }, + '4' => { + 'name' => 'chunk', + 'offset' => '22', + 'type' => '295968' + }, + '5' => { + 'name' => 'ste_arr', + 'offset' => '36', + 'type' => '295973' + }, + '6' => { + 'name' => 'hw_ste_arr', + 'offset' => '50', + 'type' => '7307' + }, + '7' => { + 'name' => 'miss_list', + 'offset' => '64', + 'type' => '39377' + }, + '8' => { + 'name' => 'chunk_size', + 'offset' => '72', + 'type' => '294915' + }, + '9' => { + 'name' => 'pointing_ste', + 'offset' => '86', + 'type' => '295973' + } + }, + 'Name' => 'struct dr_ste_htbl', + 'Size' => '72', + 'Type' => 'Struct' + }, + '29556' => { + 'BaseType' => '21190', + 'Name' => 'struct mlx5dv_devx_umem_in*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '295707' => { + 'BaseType' => '295539', + 'Name' => 'struct dr_ste_htbl*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '295712' => { + 'Header' => undef, + 'Line' => '1345', + 'Memb' => { + '0' => { + 'name' => 'nic_matcher', + 'offset' => '0', + 'type' => '304810' + }, + '1' => { + 'name' => 'last_rule_ste', + 'offset' => '8', + 'type' => '295973' + }, + '2' => { + 'name' => 'lock_index', + 'offset' => '22', + 'type' => '1977' + } + }, + 'Name' => 'struct dr_rule_rx_tx', + 'Size' => '24', + 'Type' => 'Struct' + }, + '295768' => { + 'BaseType' => '295712', + 'Name' => 'struct dr_rule_rx_tx*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '295773' => { + 'Header' => undef, + 'Line' => '247', + 'Memb' => { + '0' => { + 'name' => 'num_of_valid_entries', + 'offset' => '0', + 'type' => '159' + }, + '1' => { + 'name' => 'num_of_collisions', + 'offset' => '4', + 'type' => '159' + } + }, + 'Name' => 'struct dr_ste_htbl_ctrl', + 'Size' => '8', + 'Type' => 'Struct' + }, + '295813' => { + 'Header' => undef, + 'Line' => '257', + 'Memb' => { + '0' => { + 'name' => 'DR_STE_HTBL_TYPE_LEGACY', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_STE_HTBL_TYPE_MATCH', + 'value' => '1' + } + }, + 'Name' => 'enum dr_ste_htbl_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '295842' => { + 'Header' => undef, + 'Line' => '1408', + 'Memb' => { + '0' => { + 'name' => 'buddy_mem', + 'offset' => '0', + 'type' => '305091' + }, + '1' => { + 'name' => 'chunk_list', + 'offset' => '8', + 'type' => '14357' + }, + '2' => { + 'name' => 'num_of_entries', + 'offset' => '36', + 'type' => '2001' + }, + '3' => { + 'name' => 'byte_size', + 'offset' => '40', + 'type' => '2001' + }, + '4' => { + 'name' => 'seg', + 'offset' => '50', + 'type' => '2001' + }, + '5' => { + 'name' => 'ste_arr', + 'offset' => '64', + 'type' => '295973' + }, + '6' => { + 'name' => 'hw_ste_arr', + 'offset' => '72', + 'type' => '7307' + }, + '7' => { + 'name' => 'miss_list', + 'offset' => '86', + 'type' => '39377' + } + }, + 'Name' => 'struct dr_icm_chunk', + 'Size' => '64', + 'Type' => 'Struct' + }, + '295968' => { + 'BaseType' => '295842', + 'Name' => 'struct dr_icm_chunk*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '295973' => { + 'BaseType' => '295421', + 'Name' => 'struct dr_ste*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '295983' => { + 'Header' => undef, + 'Line' => '301', + 'Memb' => { + '0' => { + 'name' => 'byte_mask', + 'offset' => '0', + 'type' => '1989' + }, + '1' => { + 'name' => 'bit_mask', + 'offset' => '2', + 'type' => '2524' + } + }, + 'Size' => '18', + 'Type' => 'Struct' + }, + '29601' => { + 'BaseType' => '16496', + 'Name' => 'struct mlx5dv_mkey_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '296022' => { + 'Header' => undef, + 'Line' => '305', + 'Memb' => { + '0' => { + 'name' => 'format_id', + 'offset' => '0', + 'type' => '1989' + }, + '1' => { + 'name' => 'match', + 'offset' => '2', + 'type' => '63166' + }, + '2' => { + 'name' => 'definer_obj', + 'offset' => '64', + 'type' => '19197' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '296075' => { + 'Header' => undef, + 'Line' => '300', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '295983' + }, + '1' => { + 'name' => 'unnamed1', + 'offset' => '0', + 'type' => '296022' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '296096' => { + 'Header' => undef, + 'Line' => '294', + 'Memb' => { + '0' => { + 'name' => 'inner', + 'offset' => '0', + 'type' => '2091' + }, + '1' => { + 'name' => 'rx', + 'offset' => '1', + 'type' => '2091' + }, + '2' => { + 'name' => 'caps', + 'offset' => '8', + 'type' => '296859' + }, + '3' => { + 'name' => 'lu_type', + 'offset' => '22', + 'type' => '1989' + }, + '4' => { + 'name' => 'htbl_type', + 'offset' => '32', + 'type' => '295813' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '296075' + }, + '6' => { + 'name' => 'ste_build_tag_func', + 'offset' => '114', + 'type' => '297015' + } + }, + 'Name' => 'struct dr_ste_build', + 'Size' => '80', + 'Type' => 'Struct' + }, + '296199' => { + 'Header' => undef, + 'Line' => '931', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'gvmi', + 'offset' => '8', + 'type' => '1989' + }, + '10' => { + 'name' => 'log_modify_pattern_icm_size', + 'offset' => '114', + 'type' => '2001' + }, + '11' => { + 'name' => 'hdr_modify_pattern_icm_addr', + 'offset' => '128', + 'type' => '2023' + }, + '12' => { + 'name' => 'flex_protocols', + 'offset' => '136', + 'type' => '2001' + }, + '13' => { + 'name' => 'flex_parser_header_modify', + 'offset' => '146', + 'type' => '1977' + }, + '14' => { + 'name' => 'flex_parser_id_icmp_dw0', + 'offset' => '147', + 'type' => '1977' + }, + '15' => { + 'name' => 'flex_parser_id_icmp_dw1', + 'offset' => '148', + 'type' => '1977' + }, + '16' => { + 'name' => 'flex_parser_id_icmpv6_dw0', + 'offset' => '149', + 'type' => '1977' + }, + '17' => { + 'name' => 'flex_parser_id_icmpv6_dw1', + 'offset' => '150', + 'type' => '1977' + }, + '18' => { + 'name' => 'flex_parser_id_geneve_opt_0', + 'offset' => '151', + 'type' => '1977' + }, + '19' => { + 'name' => 'flex_parser_id_mpls_over_gre', + 'offset' => '152', + 'type' => '1977' + }, + '2' => { + 'name' => 'nic_rx_drop_address', + 'offset' => '22', + 'type' => '2023' + }, + '20' => { + 'name' => 'flex_parser_id_mpls_over_udp', + 'offset' => '153', + 'type' => '1977' + }, + '21' => { + 'name' => 'flex_parser_id_gtpu_dw_0', + 'offset' => '256', + 'type' => '1977' + }, + '22' => { + 'name' => 'flex_parser_id_gtpu_teid', + 'offset' => '257', + 'type' => '1977' + }, + '23' => { + 'name' => 'flex_parser_id_gtpu_dw_2', + 'offset' => '258', + 'type' => '1977' + }, + '24' => { + 'name' => 'flex_parser_id_gtpu_first_ext_dw_0', + 'offset' => '259', + 'type' => '1977' + }, + '25' => { + 'name' => 'flex_parser_ok_bits_supp', + 'offset' => '260', + 'type' => '1977' + }, + '26' => { + 'name' => 'definer_supp_checksum', + 'offset' => '261', + 'type' => '1977' + }, + '27' => { + 'name' => 'max_ft_level', + 'offset' => '262', + 'type' => '1977' + }, + '28' => { + 'name' => 'sw_format_ver', + 'offset' => '263', + 'type' => '1977' + }, + '29' => { + 'name' => 'isolate_vl_tc', + 'offset' => '264', + 'type' => '2091' + }, + '3' => { + 'name' => 'nic_tx_drop_address', + 'offset' => '36', + 'type' => '2023' + }, + '30' => { + 'name' => 'eswitch_manager', + 'offset' => '265', + 'type' => '2091' + }, + '31' => { + 'name' => 'rx_sw_owner', + 'offset' => '272', + 'type' => '2091' + }, + '32' => { + 'name' => 'tx_sw_owner', + 'offset' => '273', + 'type' => '2091' + }, + '33' => { + 'name' => 'fdb_sw_owner', + 'offset' => '274', + 'type' => '2091' + }, + '34' => { + 'name' => 'rx_sw_owner_v2', + 'offset' => '275', + 'type' => '2091' + }, + '35' => { + 'name' => 'tx_sw_owner_v2', + 'offset' => '276', + 'type' => '2091' + }, + '36' => { + 'name' => 'fdb_sw_owner_v2', + 'offset' => '277', + 'type' => '2091' + }, + '37' => { + 'name' => 'roce_caps', + 'offset' => '278', + 'type' => '300504' + }, + '38' => { + 'name' => 'definer_format_sup', + 'offset' => '288', + 'type' => '2023' + }, + '39' => { + 'name' => 'log_header_modify_argument_granularity', + 'offset' => '296', + 'type' => '1989' + }, + '4' => { + 'name' => 'nic_tx_allow_address', + 'offset' => '50', + 'type' => '2023' + }, + '40' => { + 'name' => 'log_header_modify_argument_max_alloc', + 'offset' => '304', + 'type' => '1989' + }, + '41' => { + 'name' => 'support_modify_argument', + 'offset' => '306', + 'type' => '2091' + }, + '42' => { + 'name' => 'prio_tag_required', + 'offset' => '307', + 'type' => '2091' + }, + '43' => { + 'name' => 'is_ecpf', + 'offset' => '308', + 'type' => '2091' + }, + '44' => { + 'name' => 'vports', + 'offset' => '310', + 'type' => '300619' + }, + '45' => { + 'name' => 'support_full_tnl_hdr', + 'offset' => '598', + 'type' => '2091' + }, + '5' => { + 'name' => 'esw_rx_drop_address', + 'offset' => '64', + 'type' => '2023' + }, + '6' => { + 'name' => 'esw_tx_drop_address', + 'offset' => '72', + 'type' => '2023' + }, + '7' => { + 'name' => 'log_icm_size', + 'offset' => '86', + 'type' => '2001' + }, + '8' => { + 'name' => 'log_modify_hdr_icm_size', + 'offset' => '96', + 'type' => '1977' + }, + '9' => { + 'name' => 'hdr_modify_icm_addr', + 'offset' => '100', + 'type' => '2023' + } + }, + 'Name' => 'struct dr_devx_caps', + 'Size' => '264', + 'Type' => 'Struct' + }, + '29651' => { + 'BaseType' => '18105', + 'Name' => 'struct mlx5dv_crypto_login_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29681' => { + 'BaseType' => '18259', + 'Name' => 'enum mlx5dv_crypto_login_state*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '296859' => { + 'BaseType' => '296199', + 'Name' => 'struct dr_devx_caps*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '296889' => { + 'BaseType' => '296894', + 'Name' => 'struct dr_match_param*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '296894' => { + 'Header' => undef, + 'Line' => '870', + 'Memb' => { + '0' => { + 'name' => 'outer', + 'offset' => '0', + 'type' => '297924' + }, + '1' => { + 'name' => 'misc', + 'offset' => '100', + 'type' => '298453' + }, + '2' => { + 'name' => 'inner', + 'offset' => '296', + 'type' => '297924' + }, + '3' => { + 'name' => 'misc2', + 'offset' => '402', + 'type' => '299114' + }, + '4' => { + 'name' => 'misc3', + 'offset' => '598', + 'type' => '299536' + }, + '5' => { + 'name' => 'misc4', + 'offset' => '800', + 'type' => '299897' + }, + '6' => { + 'name' => 'misc5', + 'offset' => '900', + 'type' => '300135' + } + }, + 'Name' => 'struct dr_match_param', + 'Size' => '448', + 'Type' => 'Struct' + }, + '297010' => { + 'BaseType' => '296096', + 'Name' => 'struct dr_ste_build*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '297015' => { + 'Name' => 'int(*)(struct dr_match_param*, struct dr_ste_build*, uint8_t*)', + 'Param' => { + '0' => { + 'type' => '296889' + }, + '1' => { + 'type' => '297010' + }, + '2' => { + 'type' => '7307' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '297067' => { + 'Header' => undef, + 'Line' => '373', + 'Memb' => { + '0' => { + 'name' => 'set', + 'offset' => '0', + 'type' => '2091' + } + }, + 'Size' => '1', + 'Type' => 'Struct' + }, + '297092' => { + 'Header' => undef, + 'Line' => '376', + 'Memb' => { + '0' => { + 'name' => 'initial_color', + 'offset' => '0', + 'type' => '1977' + } + }, + 'Size' => '1', + 'Type' => 'Struct' + }, + '297117' => { + 'Header' => undef, + 'Line' => '379', + 'Memb' => { + '0' => { + 'name' => 'direction', + 'offset' => '0', + 'type' => '2091' + } + }, + 'Size' => '1', + 'Type' => 'Struct' + }, + '297142' => { + 'Header' => undef, + 'Line' => '372', + 'Memb' => { + '0' => { + 'name' => 'first_hit', + 'offset' => '0', + 'type' => '297067' + }, + '1' => { + 'name' => 'flow_meter', + 'offset' => '0', + 'type' => '297092' + }, + '2' => { + 'name' => 'ct', + 'offset' => '0', + 'type' => '297117' + } + }, + 'Size' => '1', + 'Type' => 'Union' + }, + '297191' => { + 'Header' => undef, + 'Line' => '367', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'devx_obj', + 'offset' => '8', + 'type' => '19197' + }, + '2' => { + 'name' => 'offset', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'dest_reg_id', + 'offset' => '32', + 'type' => '1977' + }, + '4' => { + 'name' => 'unnamed0', + 'offset' => '33', + 'type' => '297142' + } + }, + 'Name' => 'struct dr_action_aso', + 'Size' => '24', + 'Type' => 'Struct' + }, + '297267' => { + 'Header' => undef, + 'Line' => '1067', + 'Memb' => { + '0' => { + 'name' => 'ctx', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'ste_ctx', + 'offset' => '8', + 'type' => '302533' + }, + '10' => { + 'name' => 'modify_header_arg_mngr', + 'offset' => '114', + 'type' => '302563' + }, + '11' => { + 'name' => 'send_ring', + 'offset' => '128', + 'type' => '302568' + }, + '12' => { + 'name' => 'info', + 'offset' => '402', + 'type' => '301439' + }, + '13' => { + 'name' => 'tbl_list', + 'offset' => '4168', + 'type' => '14402' + }, + '14' => { + 'name' => 'flags', + 'offset' => '4196', + 'type' => '2001' + }, + '15' => { + 'name' => 'debug_lock', + 'offset' => '4200', + 'type' => '994' + }, + '16' => { + 'name' => 'num_buddies', + 'offset' => '4210', + 'type' => '302768' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '6312' + }, + '3' => { + 'name' => 'pd_num', + 'offset' => '36', + 'type' => '159' + }, + '4' => { + 'name' => 'uar', + 'offset' => '50', + 'type' => '29470' + }, + '5' => { + 'name' => 'type', + 'offset' => '64', + 'type' => '282356' + }, + '6' => { + 'name' => 'refcount', + 'offset' => '68', + 'type' => '2103' + }, + '7' => { + 'name' => 'ste_icm_pool', + 'offset' => '72', + 'type' => '302543' + }, + '8' => { + 'name' => 'action_icm_pool', + 'offset' => '86', + 'type' => '302543' + }, + '9' => { + 'name' => 'modify_header_ptrn_mngr', + 'offset' => '100', + 'type' => '302553' + } + }, + 'Name' => 'struct mlx5dv_dr_domain', + 'Size' => '1088', + 'Type' => 'Struct' + }, + '29731' => { + 'BaseType' => '18175', + 'Name' => 'struct mlx5dv_crypto_login_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '297523' => { + 'BaseType' => '297267', + 'Name' => 'struct mlx5dv_dr_domain*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '297528' => { + 'Header' => undef, + 'Line' => '404', + 'Memb' => { + '0' => { + 'name' => 'count_pop', + 'offset' => '0', + 'type' => '159' + }, + '1' => { + 'name' => 'count_push', + 'offset' => '4', + 'type' => '159' + }, + '2' => { + 'name' => 'headers', + 'offset' => '8', + 'type' => '297581' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '297581' => { + 'BaseType' => '2001', + 'Name' => 'uint32_t[2]', + 'Size' => '8', + 'Type' => 'Array' + }, + '297597' => { + 'Header' => undef, + 'Line' => '387', + 'Memb' => { + '0' => { + 'name' => 'modify_index', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'modify_pat_idx', + 'offset' => '4', + 'type' => '2001' + }, + '10' => { + 'name' => 'ctr_id', + 'offset' => '82', + 'type' => '2001' + }, + '11' => { + 'name' => 'gvmi', + 'offset' => '86', + 'type' => '1989' + }, + '12' => { + 'name' => 'hit_gvmi', + 'offset' => '88', + 'type' => '1989' + }, + '13' => { + 'name' => 'reformat_id', + 'offset' => '96', + 'type' => '2001' + }, + '14' => { + 'name' => 'reformat_size', + 'offset' => '100', + 'type' => '2001' + }, + '15' => { + 'name' => 'prio_tag_required', + 'offset' => '104', + 'type' => '2091' + }, + '16' => { + 'name' => 'vlans', + 'offset' => '114', + 'type' => '297528' + }, + '17' => { + 'name' => 'aso', + 'offset' => '136', + 'type' => '297877' + }, + '18' => { + 'name' => 'aso_ste_loc', + 'offset' => '150', + 'type' => '2001' + }, + '2' => { + 'name' => 'modify_actions', + 'offset' => '8', + 'type' => '1989' + }, + '3' => { + 'name' => 'single_modify_action', + 'offset' => '22', + 'type' => '7307' + }, + '4' => { + 'name' => 'decap_index', + 'offset' => '36', + 'type' => '2001' + }, + '5' => { + 'name' => 'decap_pat_idx', + 'offset' => '40', + 'type' => '2001' + }, + '6' => { + 'name' => 'decap_actions', + 'offset' => '50', + 'type' => '1989' + }, + '7' => { + 'name' => 'decap_with_vlan', + 'offset' => '52', + 'type' => '2091' + }, + '8' => { + 'name' => 'final_icm_addr', + 'offset' => '64', + 'type' => '2023' + }, + '9' => { + 'name' => 'flow_tag', + 'offset' => '72', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_ste_actions_attr', + 'Size' => '104', + 'Type' => 'Struct' + }, + '29761' => { + 'BaseType' => '18294', + 'Name' => 'struct mlx5dv_crypto_login_query_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '297877' => { + 'BaseType' => '297191', + 'Name' => 'struct dr_action_aso*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2979' => { + 'BaseType' => '2747', + 'Name' => 'struct ibv_dm*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '297924' => { + 'Header' => undef, + 'Line' => '690', + 'Memb' => { + '0' => { + 'name' => 'smac_47_16', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'dmac_47_16', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'src_ip_127_96', + 'offset' => '50', + 'type' => '2001' + }, + '3' => { + 'name' => 'src_ip_95_64', + 'offset' => '54', + 'type' => '2001' + }, + '4' => { + 'name' => 'src_ip_63_32', + 'offset' => '64', + 'type' => '2001' + }, + '5' => { + 'name' => 'src_ip_31_0', + 'offset' => '68', + 'type' => '2001' + }, + '6' => { + 'name' => 'dst_ip_127_96', + 'offset' => '72', + 'type' => '2001' + }, + '7' => { + 'name' => 'dst_ip_95_64', + 'offset' => '82', + 'type' => '2001' + }, + '8' => { + 'name' => 'dst_ip_63_32', + 'offset' => '86', + 'type' => '2001' + }, + '9' => { + 'name' => 'dst_ip_31_0', + 'offset' => '96', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_match_spec', + 'Size' => '64', + 'Type' => 'Struct' + }, + '29811' => { + 'BaseType' => '18417', + 'Name' => 'struct mlx5dv_dek_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '2984' => { + 'Name' => 'int(*)(struct ibv_dm*, uint64_t, void const*, size_t)', + 'Param' => { + '0' => { + 'type' => '2979' + }, + '1' => { + 'type' => '2023' + }, + '2' => { + 'type' => '1961' + }, + '3' => { + 'type' => '419' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '29841' => { + 'BaseType' => '18591', + 'Name' => 'struct mlx5dv_dek_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '298453' => { + 'Header' => undef, + 'Line' => '728', + 'Memb' => { + '0' => { + 'name' => 'inner_esp_spi', + 'offset' => '68', + 'type' => '2001' + }, + '1' => { + 'name' => 'outer_esp_spi', + 'offset' => '72', + 'type' => '2001' + }, + '2' => { + 'name' => 'reserved_at_1a0', + 'offset' => '82', + 'type' => '2001' + }, + '3' => { + 'name' => 'reserved_at_1c0', + 'offset' => '86', + 'type' => '2001' + }, + '4' => { + 'name' => 'reserved_at_1e0', + 'offset' => '96', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_match_misc', + 'Size' => '64', + 'Type' => 'Struct' + }, + '29891' => { + 'BaseType' => '21372', + 'Name' => 'struct mlx5dv_var*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '299114' => { + 'Header' => undef, + 'Line' => '774', + 'Memb' => { + '0' => { + 'name' => 'metadata_reg_c_7', + 'offset' => '22', + 'type' => '2001' + }, + '1' => { + 'name' => 'metadata_reg_c_6', + 'offset' => '32', + 'type' => '2001' + }, + '10' => { + 'name' => 'reserved_at_1c0', + 'offset' => '86', + 'type' => '2001' + }, + '11' => { + 'name' => 'reserved_at_1e0', + 'offset' => '96', + 'type' => '2001' + }, + '2' => { + 'name' => 'metadata_reg_c_5', + 'offset' => '36', + 'type' => '2001' + }, + '3' => { + 'name' => 'metadata_reg_c_4', + 'offset' => '40', + 'type' => '2001' + }, + '4' => { + 'name' => 'metadata_reg_c_3', + 'offset' => '50', + 'type' => '2001' + }, + '5' => { + 'name' => 'metadata_reg_c_2', + 'offset' => '54', + 'type' => '2001' + }, + '6' => { + 'name' => 'metadata_reg_c_1', + 'offset' => '64', + 'type' => '2001' + }, + '7' => { + 'name' => 'metadata_reg_c_0', + 'offset' => '68', + 'type' => '2001' + }, + '8' => { + 'name' => 'metadata_reg_a', + 'offset' => '72', + 'type' => '2001' + }, + '9' => { + 'name' => 'reserved_at_1a0', + 'offset' => '82', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_match_misc2', + 'Size' => '64', + 'Type' => 'Struct' + }, + '29947' => { + 'BaseType' => '21496', + 'Name' => 'struct mlx5dv_pp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '299536' => { + 'Header' => undef, + 'Line' => '805', + 'Memb' => { + '0' => { + 'name' => 'inner_tcp_seq_num', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'outer_tcp_seq_num', + 'offset' => '4', + 'type' => '2001' + }, + '10' => { + 'name' => 'geneve_tlv_option_0_data', + 'offset' => '54', + 'type' => '2001' + }, + '11' => { + 'name' => 'gtpu_teid', + 'offset' => '64', + 'type' => '2001' + }, + '12' => { + 'name' => 'gtpu_dw_2', + 'offset' => '72', + 'type' => '2001' + }, + '13' => { + 'name' => 'gtpu_first_ext_dw_0', + 'offset' => '82', + 'type' => '2001' + }, + '14' => { + 'name' => 'gtpu_dw_0', + 'offset' => '86', + 'type' => '2001' + }, + '15' => { + 'name' => 'reserved_at_1e0', + 'offset' => '96', + 'type' => '2001' + }, + '2' => { + 'name' => 'inner_tcp_ack_num', + 'offset' => '8', + 'type' => '2001' + }, + '3' => { + 'name' => 'outer_tcp_ack_num', + 'offset' => '18', + 'type' => '2001' + }, + '4' => { + 'name' => 'icmpv4_header_data', + 'offset' => '36', + 'type' => '2001' + }, + '5' => { + 'name' => 'icmpv6_header_data', + 'offset' => '40', + 'type' => '2001' + }, + '6' => { + 'name' => 'icmpv4_type', + 'offset' => '50', + 'type' => '1977' + }, + '7' => { + 'name' => 'icmpv4_code', + 'offset' => '51', + 'type' => '1977' + }, + '8' => { + 'name' => 'icmpv6_type', + 'offset' => '52', + 'type' => '1977' + }, + '9' => { + 'name' => 'icmpv6_code', + 'offset' => '53', + 'type' => '1977' + } + }, + 'Name' => 'struct dr_match_misc3', + 'Size' => '64', + 'Type' => 'Struct' + }, + '299897' => { + 'Header' => undef, + 'Line' => '832', + 'Memb' => { + '0' => { + 'name' => 'prog_sample_field_value_0', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'prog_sample_field_id_0', + 'offset' => '4', + 'type' => '2001' + }, + '10' => { + 'name' => 'prog_sample_field_value_5', + 'offset' => '64', + 'type' => '2001' + }, + '11' => { + 'name' => 'prog_sample_field_id_5', + 'offset' => '68', + 'type' => '2001' + }, + '12' => { + 'name' => 'prog_sample_field_value_6', + 'offset' => '72', + 'type' => '2001' + }, + '13' => { + 'name' => 'prog_sample_field_id_6', + 'offset' => '82', + 'type' => '2001' + }, + '14' => { + 'name' => 'prog_sample_field_value_7', + 'offset' => '86', + 'type' => '2001' + }, + '15' => { + 'name' => 'prog_sample_field_id_7', + 'offset' => '96', + 'type' => '2001' + }, + '2' => { + 'name' => 'prog_sample_field_value_1', + 'offset' => '8', + 'type' => '2001' + }, + '3' => { + 'name' => 'prog_sample_field_id_1', + 'offset' => '18', + 'type' => '2001' + }, + '4' => { + 'name' => 'prog_sample_field_value_2', + 'offset' => '22', + 'type' => '2001' + }, + '5' => { + 'name' => 'prog_sample_field_id_2', + 'offset' => '32', + 'type' => '2001' + }, + '6' => { + 'name' => 'prog_sample_field_value_3', + 'offset' => '36', + 'type' => '2001' + }, + '7' => { + 'name' => 'prog_sample_field_id_3', + 'offset' => '40', + 'type' => '2001' + }, + '8' => { + 'name' => 'prog_sample_field_value_4', + 'offset' => '50', + 'type' => '2001' + }, + '9' => { + 'name' => 'prog_sample_field_id_4', + 'offset' => '54', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_match_misc4', + 'Size' => '64', + 'Type' => 'Struct' + }, + '29993' => { + 'BaseType' => '20528', + 'Name' => 'struct mlx5dv_obj*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '300135' => { + 'Header' => undef, + 'Line' => '851', + 'Memb' => { + '0' => { + 'name' => 'macsec_tag_0', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'macsec_tag_1', + 'offset' => '4', + 'type' => '2001' + }, + '10' => { + 'name' => 'reserved_at_140', + 'offset' => '64', + 'type' => '2001' + }, + '11' => { + 'name' => 'reserved_at_160', + 'offset' => '68', + 'type' => '2001' + }, + '12' => { + 'name' => 'reserved_at_180', + 'offset' => '72', + 'type' => '2001' + }, + '13' => { + 'name' => 'reserved_at_1a0', + 'offset' => '82', + 'type' => '2001' + }, + '14' => { + 'name' => 'reserved_at_1c0', + 'offset' => '86', + 'type' => '2001' + }, + '15' => { + 'name' => 'reserved_at_1e0', + 'offset' => '96', + 'type' => '2001' + }, + '2' => { + 'name' => 'macsec_tag_2', + 'offset' => '8', + 'type' => '2001' + }, + '3' => { + 'name' => 'macsec_tag_3', + 'offset' => '18', + 'type' => '2001' + }, + '4' => { + 'name' => 'tunnel_header_0', + 'offset' => '22', + 'type' => '2001' + }, + '5' => { + 'name' => 'tunnel_header_1', + 'offset' => '32', + 'type' => '2001' + }, + '6' => { + 'name' => 'tunnel_header_2', + 'offset' => '36', + 'type' => '2001' + }, + '7' => { + 'name' => 'tunnel_header_3', + 'offset' => '40', + 'type' => '2001' + }, + '8' => { + 'name' => 'reserved_at_100', + 'offset' => '50', + 'type' => '2001' + }, + '9' => { + 'name' => 'reserved_at_120', + 'offset' => '54', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_match_misc5', + 'Size' => '64', + 'Type' => 'Struct' + }, + '30028' => { + 'BaseType' => '16426', + 'Name' => 'struct mlx5dv_cq_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '300373' => { + 'Header' => undef, + 'Line' => '893', + 'Memb' => { + '0' => { + 'name' => 'vport_gvmi', + 'offset' => '0', + 'type' => '1989' + }, + '1' => { + 'name' => 'vhca_gvmi', + 'offset' => '2', + 'type' => '1989' + }, + '2' => { + 'name' => 'icm_address_rx', + 'offset' => '8', + 'type' => '2023' + }, + '3' => { + 'name' => 'icm_address_tx', + 'offset' => '22', + 'type' => '2023' + }, + '4' => { + 'name' => 'num', + 'offset' => '36', + 'type' => '1989' + }, + '5' => { + 'name' => 'metadata_c', + 'offset' => '40', + 'type' => '2001' + }, + '6' => { + 'name' => 'metadata_c_mask', + 'offset' => '50', + 'type' => '2001' + }, + '7' => { + 'name' => 'next', + 'offset' => '64', + 'type' => '300499' + } + }, + 'Name' => 'struct dr_devx_vport_cap', + 'Size' => '48', + 'Type' => 'Struct' + }, + '300499' => { + 'BaseType' => '300373', + 'Name' => 'struct dr_devx_vport_cap*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '300504' => { + 'Header' => undef, + 'Line' => '905', + 'Memb' => { + '0' => { + 'name' => 'roce_en', + 'offset' => '0', + 'type' => '2091' + }, + '1' => { + 'name' => 'fl_rc_qp_when_roce_disabled', + 'offset' => '1', + 'type' => '2091' + }, + '2' => { + 'name' => 'fl_rc_qp_when_roce_enabled', + 'offset' => '2', + 'type' => '2091' + }, + '3' => { + 'name' => 'qp_ts_format', + 'offset' => '3', + 'type' => '1977' + } + }, + 'Name' => 'struct dr_devx_roce_cap', + 'Size' => '4', + 'Type' => 'Struct' + }, + '300574' => { + 'Header' => undef, + 'Line' => '912', + 'Memb' => { + '0' => { + 'name' => 'buckets', + 'offset' => '0', + 'type' => '300603' + } + }, + 'Name' => 'struct dr_vports_table', + 'Size' => '2048', + 'Type' => 'Struct' + }, + '300603' => { + 'BaseType' => '300499', + 'Name' => 'struct dr_devx_vport_cap*[256]', + 'Size' => '2048', + 'Type' => 'Array' + }, + '300619' => { + 'Header' => undef, + 'Line' => '916', + 'Memb' => { + '0' => { + 'name' => 'esw_mngr', + 'offset' => '0', + 'type' => '300373' + }, + '1' => { + 'name' => 'wire', + 'offset' => '72', + 'type' => '300373' + }, + '2' => { + 'name' => 'vports', + 'offset' => '150', + 'type' => '300717' + }, + '3' => { + 'name' => 'ib_ports', + 'offset' => '260', + 'type' => '300722' + }, + '4' => { + 'name' => 'num_ports', + 'offset' => '274', + 'type' => '2001' + }, + '5' => { + 'name' => 'lock', + 'offset' => '278', + 'type' => '994' + } + }, + 'Name' => 'struct dr_devx_vports', + 'Size' => '120', + 'Type' => 'Struct' + }, + '30063' => { + 'BaseType' => '16734', + 'Name' => 'struct mlx5dv_qp_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '300717' => { + 'BaseType' => '300574', + 'Name' => 'struct dr_vports_table*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '300722' => { + 'BaseType' => '300499', + 'Name' => 'struct dr_devx_vport_cap**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '301137' => { + 'Header' => undef, + 'Line' => '1018', + 'Memb' => { + '0' => { + 'name' => 'type', + 'offset' => '0', + 'type' => '1977' + }, + '1' => { + 'name' => 'level', + 'offset' => '1', + 'type' => '1977' + }, + '2' => { + 'name' => 'ft_dvo', + 'offset' => '8', + 'type' => '19197' + }, + '3' => { + 'name' => 'fg_dvo', + 'offset' => '22', + 'type' => '19197' + }, + '4' => { + 'name' => 'fte_dvo', + 'offset' => '36', + 'type' => '19197' + } + }, + 'Name' => 'struct dr_devx_tbl', + 'Size' => '32', + 'Type' => 'Struct' + }, + '30118' => { + 'BaseType' => '20691', + 'Name' => 'struct mlx5dv_wq_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '301319' => { + 'Header' => undef, + 'Line' => '1035', + 'Memb' => { + '0' => { + 'name' => 'DR_DOMAIN_NIC_TYPE_RX', + 'value' => '0' + }, + '1' => { + 'name' => 'DR_DOMAIN_NIC_TYPE_TX', + 'value' => '1' + } + }, + 'Name' => 'enum dr_domain_nic_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '301348' => { + 'Header' => undef, + 'Line' => '1040', + 'Memb' => { + '0' => { + 'name' => 'drop_icm_addr', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'default_icm_addr', + 'offset' => '8', + 'type' => '2023' + }, + '2' => { + 'name' => 'type', + 'offset' => '22', + 'type' => '301319' + }, + '3' => { + 'name' => 'locks', + 'offset' => '32', + 'type' => '301434' + } + }, + 'Name' => 'struct dr_domain_rx_tx', + 'Size' => '80', + 'Type' => 'Struct' + }, + '301418' => { + 'BaseType' => '994', + 'Name' => 'pthread_spinlock_t[14]', + 'Size' => '56', + 'Type' => 'Array' + }, + '301434' => { + 'BaseType' => '301418', + 'Name' => 'pthread_spinlock_t[14] volatile', + 'Size' => '56', + 'Type' => 'Volatile' + }, + '301439' => { + 'Header' => undef, + 'Line' => '1048', + 'Memb' => { + '0' => { + 'name' => 'supp_sw_steering', + 'offset' => '0', + 'type' => '2091' + }, + '1' => { + 'name' => 'max_log_sw_icm_sz', + 'offset' => '4', + 'type' => '2001' + }, + '10' => { + 'name' => 'use_mqs', + 'offset' => '2120', + 'type' => '2091' + }, + '2' => { + 'name' => 'max_log_action_icm_sz', + 'offset' => '8', + 'type' => '2001' + }, + '3' => { + 'name' => 'max_log_modify_hdr_pattern_icm_sz', + 'offset' => '18', + 'type' => '2001' + }, + '4' => { + 'name' => 'max_log_sw_icm_rehash_sz', + 'offset' => '22', + 'type' => '2001' + }, + '5' => { + 'name' => 'max_send_size', + 'offset' => '32', + 'type' => '2001' + }, + '6' => { + 'name' => 'rx', + 'offset' => '36', + 'type' => '301348' + }, + '7' => { + 'name' => 'tx', + 'offset' => '260', + 'type' => '301348' + }, + '8' => { + 'name' => 'attr', + 'offset' => '388', + 'type' => '4057' + }, + '9' => { + 'name' => 'caps', + 'offset' => '1412', + 'type' => '296199' + } + }, + 'Name' => 'struct dr_domain_info', + 'Size' => '856', + 'Type' => 'Struct' + }, + '30153' => { + 'BaseType' => '19859', + 'Name' => 'struct mlx5dv_alloc_dm_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '301608' => { + 'Header' => undef, + 'Line' => '155', + 'Memb' => { + '0' => { + 'name' => 'build_eth_l2_src_dst_init', + 'offset' => '0', + 'type' => '305575' + }, + '1' => { + 'name' => 'build_eth_l3_ipv6_src_init', + 'offset' => '8', + 'type' => '305575' + }, + '10' => { + 'name' => 'build_tnl_gre_init', + 'offset' => '128', + 'type' => '305575' + }, + '11' => { + 'name' => 'build_tnl_mpls_over_gre_init', + 'offset' => '136', + 'type' => '305575' + }, + '12' => { + 'name' => 'build_tnl_mpls_over_udp_init', + 'offset' => '150', + 'type' => '305575' + }, + '13' => { + 'name' => 'build_icmp_init', + 'offset' => '260', + 'type' => '305575' + }, + '14' => { + 'name' => 'build_general_purpose_init', + 'offset' => '274', + 'type' => '305575' + }, + '15' => { + 'name' => 'build_eth_l4_misc_init', + 'offset' => '288', + 'type' => '305575' + }, + '16' => { + 'name' => 'build_tnl_vxlan_gpe_init', + 'offset' => '296', + 'type' => '305575' + }, + '17' => { + 'name' => 'build_tnl_geneve_init', + 'offset' => '310', + 'type' => '305575' + }, + '18' => { + 'name' => 'build_tnl_geneve_tlv_opt_init', + 'offset' => '324', + 'type' => '305575' + }, + '19' => { + 'name' => 'build_tnl_geneve_tlv_opt_exist_init', + 'offset' => '338', + 'type' => '305575' + }, + '2' => { + 'name' => 'build_eth_l3_ipv6_dst_init', + 'offset' => '22', + 'type' => '305575' + }, + '20' => { + 'name' => 'build_tnl_gtpu_init', + 'offset' => '352', + 'type' => '305575' + }, + '21' => { + 'name' => 'build_tnl_gtpu_flex_parser_0', + 'offset' => '360', + 'type' => '305575' + }, + '22' => { + 'name' => 'build_tnl_gtpu_flex_parser_1', + 'offset' => '374', + 'type' => '305575' + }, + '23' => { + 'name' => 'build_register_0_init', + 'offset' => '388', + 'type' => '305575' + }, + '24' => { + 'name' => 'build_register_1_init', + 'offset' => '402', + 'type' => '305575' + }, + '25' => { + 'name' => 'build_src_gvmi_qpn_init', + 'offset' => '512', + 'type' => '305575' + }, + '26' => { + 'name' => 'build_flex_parser_0_init', + 'offset' => '520', + 'type' => '305575' + }, + '27' => { + 'name' => 'build_flex_parser_1_init', + 'offset' => '534', + 'type' => '305575' + }, + '28' => { + 'name' => 'build_tunnel_header_init', + 'offset' => '548', + 'type' => '305575' + }, + '29' => { + 'name' => 'build_ib_l4_init', + 'offset' => '562', + 'type' => '305575' + }, + '3' => { + 'name' => 'build_eth_l3_ipv4_5_tuple_init', + 'offset' => '36', + 'type' => '305575' + }, + '30' => { + 'name' => 'build_def0_init', + 'offset' => '576', + 'type' => '305575' + }, + '31' => { + 'name' => 'build_def2_init', + 'offset' => '584', + 'type' => '305575' + }, + '32' => { + 'name' => 'build_def6_init', + 'offset' => '598', + 'type' => '305575' + }, + '33' => { + 'name' => 'build_def16_init', + 'offset' => '612', + 'type' => '305575' + }, + '34' => { + 'name' => 'build_def22_init', + 'offset' => '626', + 'type' => '305575' + }, + '35' => { + 'name' => 'build_def24_init', + 'offset' => '640', + 'type' => '305575' + }, + '36' => { + 'name' => 'build_def25_init', + 'offset' => '648', + 'type' => '305575' + }, + '37' => { + 'name' => 'build_def26_init', + 'offset' => '662', + 'type' => '305575' + }, + '38' => { + 'name' => 'build_def28_init', + 'offset' => '772', + 'type' => '305575' + }, + '39' => { + 'name' => 'build_def33_init', + 'offset' => '786', + 'type' => '305575' + }, + '4' => { + 'name' => 'build_eth_l2_src_init', + 'offset' => '50', + 'type' => '305575' + }, + '40' => { + 'name' => 'aso_other_domain_link', + 'offset' => '800', + 'type' => '305643' + }, + '41' => { + 'name' => 'aso_other_domain_unlink', + 'offset' => '808', + 'type' => '28933' + }, + '42' => { + 'name' => 'ste_init', + 'offset' => '822', + 'type' => '305674' + }, + '43' => { + 'name' => 'set_next_lu_type', + 'offset' => '836', + 'type' => '305695' + }, + '44' => { + 'name' => 'get_next_lu_type', + 'offset' => '850', + 'type' => '305715' + }, + '45' => { + 'name' => 'set_miss_addr', + 'offset' => '864', + 'type' => '305736' + }, + '46' => { + 'name' => 'get_miss_addr', + 'offset' => '872', + 'type' => '305756' + }, + '47' => { + 'name' => 'set_hit_addr', + 'offset' => '886', + 'type' => '305782' + }, + '48' => { + 'name' => 'set_byte_mask', + 'offset' => '900', + 'type' => '305695' + }, + '49' => { + 'name' => 'get_byte_mask', + 'offset' => '914', + 'type' => '305715' + }, + '5' => { + 'name' => 'build_eth_l2_dst_init', + 'offset' => '64', + 'type' => '305575' + }, + '50' => { + 'name' => 'set_ctrl_always_hit_htbl', + 'offset' => '1024', + 'type' => '305823' + }, + '51' => { + 'name' => 'set_ctrl_always_miss', + 'offset' => '1032', + 'type' => '305849' + }, + '52' => { + 'name' => 'set_hit_gvmi', + 'offset' => '1046', + 'type' => '305695' + }, + '53' => { + 'name' => 'actions_caps', + 'offset' => '1060', + 'type' => '2001' + }, + '54' => { + 'name' => 'action_modify_field_arr', + 'offset' => '1074', + 'type' => '305854' + }, + '55' => { + 'name' => 'action_modify_field_arr_size', + 'offset' => '1088', + 'type' => '419' + }, + '56' => { + 'name' => 'set_actions_rx', + 'offset' => '1096', + 'type' => '305895' + }, + '57' => { + 'name' => 'set_actions_tx', + 'offset' => '1110', + 'type' => '305895' + }, + '58' => { + 'name' => 'set_action_set', + 'offset' => '1124', + 'type' => '305931' + }, + '59' => { + 'name' => 'set_action_add', + 'offset' => '1138', + 'type' => '305931' + }, + '6' => { + 'name' => 'build_eth_l2_tnl_init', + 'offset' => '72', + 'type' => '305575' + }, + '60' => { + 'name' => 'set_action_copy', + 'offset' => '1152', + 'type' => '305972' + }, + '61' => { + 'name' => 'get_action_hw_field', + 'offset' => '1160', + 'type' => '306002' + }, + '62' => { + 'name' => 'set_action_decap_l3_list', + 'offset' => '1174', + 'type' => '306042' + }, + '63' => { + 'name' => 'set_aso_ct_cross_dmn', + 'offset' => '1284', + 'type' => '306078' + }, + '64' => { + 'name' => 'alloc_modify_hdr_chunk', + 'offset' => '1298', + 'type' => '306103' + }, + '65' => { + 'name' => 'dealloc_modify_hdr_chunk', + 'offset' => '1312', + 'type' => '306119' + }, + '66' => { + 'name' => 'prepare_for_postsend', + 'offset' => '1320', + 'type' => '306140' + }, + '7' => { + 'name' => 'build_eth_l3_ipv4_misc_init', + 'offset' => '86', + 'type' => '305575' + }, + '8' => { + 'name' => 'build_eth_ipv6_l3_l4_init', + 'offset' => '100', + 'type' => '305575' + }, + '9' => { + 'name' => 'build_mpls_init', + 'offset' => '114', + 'type' => '305575' + } + }, + 'Name' => 'struct dr_ste_ctx', + 'Size' => '536', + 'Type' => 'Struct' + }, + '3019' => { + 'Name' => 'int(*)(void*, struct ibv_dm*, uint64_t, size_t)', + 'Param' => { + '0' => { + 'type' => '308' + }, + '1' => { + 'type' => '2979' + }, + '2' => { + 'type' => '2023' + }, + '3' => { + 'type' => '419' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '30213' => { + 'BaseType' => '18647', + 'Name' => 'struct mlx5dv_flow_action_esp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '3024' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '3558' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '100', + 'type' => '2215' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '278', + 'type' => '70' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '288', + 'type' => '159' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '292', + 'type' => '159' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '296', + 'type' => '159' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '306', + 'type' => '159' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '310', + 'type' => '159' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '320', + 'type' => '159' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '324', + 'type' => '159' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '328', + 'type' => '159' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '338', + 'type' => '159' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '114', + 'type' => '2215' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '342', + 'type' => '159' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '352', + 'type' => '159' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '356', + 'type' => '2658' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '360', + 'type' => '159' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '370', + 'type' => '159' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '374', + 'type' => '159' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '384', + 'type' => '159' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '388', + 'type' => '159' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '392', + 'type' => '159' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '402', + 'type' => '159' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '128', + 'type' => '2023' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '406', + 'type' => '159' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '512', + 'type' => '159' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '516', + 'type' => '159' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '520', + 'type' => '159' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '530', + 'type' => '159' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '534', + 'type' => '159' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '544', + 'type' => '159' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '548', + 'type' => '1989' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '550', + 'type' => '1977' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '551', + 'type' => '1977' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '136', + 'type' => '2023' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '150', + 'type' => '2001' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '256', + 'type' => '2001' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '260', + 'type' => '2001' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '264', + 'type' => '159' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '274', + 'type' => '159' + } + }, + 'Name' => 'struct ibv_device_attr', + 'Size' => '232', + 'Type' => 'Struct' + }, + '302533' => { + 'BaseType' => '301608', + 'Name' => 'struct dr_ste_ctx*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '302538' => { + 'Header' => undef, + 'Line' => '37', + 'Memb' => { + '0' => { + 'name' => 'icm_type', + 'offset' => '0', + 'type' => '295095' + }, + '1' => { + 'name' => 'dmn', + 'offset' => '8', + 'type' => '297523' + }, + '2' => { + 'name' => 'max_log_chunk_sz', + 'offset' => '22', + 'type' => '294915' + }, + '3' => { + 'name' => 'lock', + 'offset' => '32', + 'type' => '994' + }, + '4' => { + 'name' => 'buddy_mem_list', + 'offset' => '36', + 'type' => '14402' + }, + '5' => { + 'name' => 'hot_memory_size', + 'offset' => '64', + 'type' => '2023' + }, + '6' => { + 'name' => 'syncing', + 'offset' => '72', + 'type' => '2091' + }, + '7' => { + 'name' => 'th', + 'offset' => '86', + 'type' => '419' + } + }, + 'Name' => 'struct dr_icm_pool', + 'Size' => '64', + 'Type' => 'Struct' + }, + '302543' => { + 'BaseType' => '302538', + 'Name' => 'struct dr_icm_pool*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '302548' => { + 'Header' => undef, + 'Line' => '15', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'ptrn_icm_pool', + 'offset' => '8', + 'type' => '302543' + }, + '2' => { + 'name' => 'ptrn_list', + 'offset' => '22', + 'type' => '14402' + }, + '3' => { + 'name' => 'modify_hdr_mutex', + 'offset' => '50', + 'type' => '1194573' + } + }, + 'Name' => 'struct dr_ptrn_mngr', + 'Size' => '72', + 'Type' => 'Struct' + }, + '302553' => { + 'BaseType' => '302548', + 'Name' => 'struct dr_ptrn_mngr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '302558' => { + 'Header' => undef, + 'Line' => '26', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'pools', + 'offset' => '8', + 'type' => '1273127' + } + }, + 'Name' => 'struct dr_arg_mngr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '302563' => { + 'BaseType' => '302558', + 'Name' => 'struct dr_arg_mngr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '302568' => { + 'BaseType' => '302584', + 'Name' => 'struct dr_send_ring*[14]', + 'Size' => '112', + 'Type' => 'Array' + }, + '302584' => { + 'BaseType' => '302589', + 'Name' => 'struct dr_send_ring*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '302589' => { + 'Header' => undef, + 'Line' => '1667', + 'Memb' => { + '0' => { + 'name' => 'cq', + 'offset' => '0', + 'type' => '305431' + }, + '1' => { + 'name' => 'qp', + 'offset' => '72', + 'type' => '305555' + }, + '10' => { + 'name' => 'sync_buff', + 'offset' => '260', + 'type' => '308' + }, + '11' => { + 'name' => 'sync_mr', + 'offset' => '274', + 'type' => '6126' + }, + '2' => { + 'name' => 'mr', + 'offset' => '86', + 'type' => '6126' + }, + '3' => { + 'name' => 'pending_wqe', + 'offset' => '100', + 'type' => '2001' + }, + '4' => { + 'name' => 'signal_th', + 'offset' => '104', + 'type' => '1989' + }, + '5' => { + 'name' => 'max_inline_size', + 'offset' => '114', + 'type' => '2001' + }, + '6' => { + 'name' => 'tx_head', + 'offset' => '118', + 'type' => '2001' + }, + '7' => { + 'name' => 'lock', + 'offset' => '128', + 'type' => '994' + }, + '8' => { + 'name' => 'buf', + 'offset' => '136', + 'type' => '308' + }, + '9' => { + 'name' => 'buf_size', + 'offset' => '150', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_send_ring', + 'Size' => '120', + 'Type' => 'Struct' + }, + '302768' => { + 'BaseType' => '2001', + 'Name' => 'uint32_t[3]', + 'Size' => '12', + 'Type' => 'Array' + }, + '302784' => { + 'Header' => undef, + 'Line' => '1146', + 'Memb' => { + '0' => { + 'name' => 's_anchor', + 'offset' => '0', + 'type' => '295707' + }, + '1' => { + 'name' => 'nic_dmn', + 'offset' => '8', + 'type' => '302826' + } + }, + 'Name' => 'struct dr_table_rx_tx', + 'Size' => '16', + 'Type' => 'Struct' + }, + '302826' => { + 'BaseType' => '301348', + 'Name' => 'struct dr_domain_rx_tx*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '302831' => { + 'Header' => undef, + 'Line' => '1163', + 'Memb' => { + '0' => { + 'name' => 's_htbl', + 'offset' => '0', + 'type' => '295707' + }, + '1' => { + 'name' => 'e_anchor', + 'offset' => '8', + 'type' => '295707' + }, + '2' => { + 'name' => 'ste_builder', + 'offset' => '22', + 'type' => '302948' + }, + '3' => { + 'name' => 'num_of_builders', + 'offset' => '5654', + 'type' => '1977' + }, + '4' => { + 'name' => 'default_icm_addr', + 'offset' => '5668', + 'type' => '2023' + }, + '5' => { + 'name' => 'nic_tbl', + 'offset' => '5682', + 'type' => '302964' + }, + '6' => { + 'name' => 'fixed_size', + 'offset' => '5696', + 'type' => '2091' + } + }, + 'Name' => 'struct dr_matcher_rx_tx', + 'Size' => '1648', + 'Type' => 'Struct' + }, + '302948' => { + 'BaseType' => '296096', + 'Name' => 'struct dr_ste_build[20]', + 'Size' => '1600', + 'Type' => 'Array' + }, + '302964' => { + 'BaseType' => '302784', + 'Name' => 'struct dr_table_rx_tx*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '302969' => { + 'Header' => undef, + 'Line' => '1173', + 'Memb' => { + '0' => { + 'name' => 'tbl', + 'offset' => '0', + 'type' => '282613' + }, + '1' => { + 'name' => 'rx', + 'offset' => '8', + 'type' => '302831' + }, + '2' => { + 'name' => 'tx', + 'offset' => '5718', + 'type' => '302831' + }, + '3' => { + 'name' => 'matcher_list', + 'offset' => '13060', + 'type' => '14357' + }, + '4' => { + 'name' => 'prio', + 'offset' => '13088', + 'type' => '1989' + }, + '5' => { + 'name' => 'mask', + 'offset' => '13092', + 'type' => '296894' + }, + '6' => { + 'name' => 'match_criteria', + 'offset' => '14194', + 'type' => '1977' + }, + '7' => { + 'name' => 'refcount', + 'offset' => '14198', + 'type' => '2103' + }, + '8' => { + 'name' => 'dv_matcher', + 'offset' => '14212', + 'type' => '30318' + }, + '9' => { + 'name' => 'rule_list', + 'offset' => '14226', + 'type' => '14402' + } + }, + 'Name' => 'struct mlx5dv_dr_matcher', + 'Size' => '3808', + 'Type' => 'Struct' + }, + '303130' => { + 'Header' => undef, + 'Line' => '1186', + 'Memb' => { + '0' => { + 'name' => 'hw_field', + 'offset' => '0', + 'type' => '1989' + }, + '1' => { + 'name' => 'start', + 'offset' => '2', + 'type' => '1977' + }, + '2' => { + 'name' => 'end', + 'offset' => '3', + 'type' => '1977' + }, + '3' => { + 'name' => 'l3_type', + 'offset' => '4', + 'type' => '1977' + }, + '4' => { + 'name' => 'l4_type', + 'offset' => '5', + 'type' => '1977' + }, + '5' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_ste_action_modify_field', + 'Size' => '12', + 'Type' => 'Struct' + }, + '30318' => { + 'BaseType' => '27813', + 'Name' => 'struct mlx5dv_flow_matcher*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '303228' => { + 'BaseType' => '303130', + 'Name' => 'struct dr_ste_action_modify_field const', + 'Size' => '12', + 'Type' => 'Const' + }, + '30323' => { + 'BaseType' => '18746', + 'Name' => 'struct mlx5dv_flow_matcher_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '303233' => { + 'Header' => undef, + 'Line' => '1195', + 'Memb' => { + '0' => { + 'name' => 'ref_actions_num', + 'offset' => '0', + 'type' => '1989' + }, + '1' => { + 'name' => 'ref_actions', + 'offset' => '8', + 'type' => '282750' + }, + '2' => { + 'name' => 'devx_tbl', + 'offset' => '22', + 'type' => '303289' + } + }, + 'Name' => 'struct dr_devx_tbl_with_refs', + 'Size' => '24', + 'Type' => 'Struct' + }, + '303289' => { + 'BaseType' => '301137', + 'Name' => 'struct dr_devx_tbl*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '303294' => { + 'Header' => undef, + 'Line' => '1201', + 'Memb' => { + '0' => { + 'name' => 'devx_obj', + 'offset' => '0', + 'type' => '19197' + }, + '1' => { + 'name' => 'rx_icm_addr', + 'offset' => '8', + 'type' => '2023' + }, + '2' => { + 'name' => 'tx_icm_addr', + 'offset' => '22', + 'type' => '2023' + }, + '3' => { + 'name' => 'next_ft', + 'offset' => '36', + 'type' => '282613' + } + }, + 'Name' => 'struct dr_flow_sampler', + 'Size' => '32', + 'Type' => 'Struct' + }, + '303364' => { + 'Header' => undef, + 'Line' => '1208', + 'Memb' => { + '0' => { + 'name' => 'tbl', + 'offset' => '0', + 'type' => '282613' + }, + '1' => { + 'name' => 'matcher', + 'offset' => '8', + 'type' => '303448' + }, + '2' => { + 'name' => 'rule', + 'offset' => '22', + 'type' => '303529' + }, + '3' => { + 'name' => 'actions', + 'offset' => '36', + 'type' => '282750' + }, + '4' => { + 'name' => 'num_of_actions', + 'offset' => '50', + 'type' => '1989' + } + }, + 'Name' => 'struct dr_flow_sampler_restore_tbl', + 'Size' => '40', + 'Type' => 'Struct' + }, + '303448' => { + 'BaseType' => '302969', + 'Name' => 'struct mlx5dv_dr_matcher*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '303453' => { + 'Header' => undef, + 'Line' => '1351', + 'Memb' => { + '0' => { + 'name' => 'matcher', + 'offset' => '0', + 'type' => '303448' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '304852' + }, + '2' => { + 'name' => 'rule_list', + 'offset' => '86', + 'type' => '14357' + }, + '3' => { + 'name' => 'actions', + 'offset' => '114', + 'type' => '282750' + }, + '4' => { + 'name' => 'num_actions', + 'offset' => '128', + 'type' => '1989' + } + }, + 'Name' => 'struct mlx5dv_dr_rule', + 'Size' => '88', + 'Type' => 'Struct' + }, + '303529' => { + 'BaseType' => '303453', + 'Name' => 'struct mlx5dv_dr_rule*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '303534' => { + 'Header' => undef, + 'Line' => '1216', + 'Memb' => { + '0' => { + 'name' => 'chunk', + 'offset' => '0', + 'type' => '295968' + }, + '1' => { + 'name' => 'data', + 'offset' => '8', + 'type' => '7307' + }, + '2' => { + 'name' => 'data_size', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'num_of_actions', + 'offset' => '32', + 'type' => '1989' + }, + '4' => { + 'name' => 'index', + 'offset' => '36', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_rewrite_param', + 'Size' => '32', + 'Type' => 'Struct' + }, + '303618' => { + 'Header' => undef, + 'Line' => '1229', + 'Memb' => { + '0' => { + 'name' => 'rewrite_param', + 'offset' => '0', + 'type' => '303534' + }, + '1' => { + 'name' => 'refcount', + 'offset' => '50', + 'type' => '2103' + }, + '2' => { + 'name' => 'list', + 'offset' => '64', + 'type' => '14357' + } + }, + 'Name' => 'struct dr_ptrn_obj', + 'Size' => '56', + 'Type' => 'Struct' + }, + '303674' => { + 'Header' => undef, + 'Line' => '1235', + 'Memb' => { + '0' => { + 'name' => 'obj', + 'offset' => '0', + 'type' => '19197' + }, + '1' => { + 'name' => 'obj_offset', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'list_node', + 'offset' => '22', + 'type' => '14357' + }, + '3' => { + 'name' => 'log_chunk_size', + 'offset' => '50', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_arg_obj', + 'Size' => '40', + 'Type' => 'Struct' + }, + '303744' => { + 'Header' => undef, + 'Line' => '1257', + 'Memb' => { + '0' => { + 'name' => 'ptrn', + 'offset' => '0', + 'type' => '303783' + }, + '1' => { + 'name' => 'arg', + 'offset' => '8', + 'type' => '303788' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '303783' => { + 'BaseType' => '303618', + 'Name' => 'struct dr_ptrn_obj*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '303788' => { + 'BaseType' => '303674', + 'Name' => 'struct dr_arg_obj*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '303793' => { + 'Header' => undef, + 'Line' => '1252', + 'Memb' => { + '0' => { + 'name' => 'param', + 'offset' => '0', + 'type' => '303534' + }, + '1' => { + 'name' => 'ptrn_arg', + 'offset' => '64', + 'type' => '303744' + } + }, + 'Size' => '56', + 'Type' => 'Struct' + }, + '30388' => { + 'BaseType' => '19202', + 'Name' => 'struct mlx5dv_flow_action_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '303880' => { + 'Header' => undef, + 'Line' => '1250', + 'Memb' => { + '0' => { + 'name' => 'flow_action', + 'offset' => '0', + 'type' => '13657' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '303793' + } + }, + 'Size' => '56', + 'Type' => 'Union' + }, + '303909' => { + 'Header' => undef, + 'Line' => '1246', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'is_root_level', + 'offset' => '8', + 'type' => '2091' + }, + '2' => { + 'name' => 'args_send_qp', + 'offset' => '18', + 'type' => '2001' + }, + '3' => { + 'name' => 'unnamed0', + 'offset' => '22', + 'type' => '303880' + } + }, + 'Size' => '72', + 'Type' => 'Struct' + }, + '303968' => { + 'Header' => undef, + 'Line' => '1269', + 'Memb' => { + '0' => { + 'name' => 'dvo', + 'offset' => '0', + 'type' => '19197' + }, + '1' => { + 'name' => 'reformat_size', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '304007' => { + 'Header' => undef, + 'Line' => '1267', + 'Memb' => { + '0' => { + 'name' => 'flow_action', + 'offset' => '0', + 'type' => '13657' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '303968' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '304036' => { + 'Header' => undef, + 'Line' => '1264', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'is_root_level', + 'offset' => '8', + 'type' => '2091' + }, + '2' => { + 'name' => 'unnamed0', + 'offset' => '22', + 'type' => '304007' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '304081' => { + 'Header' => undef, + 'Line' => '1275', + 'Memb' => { + '0' => { + 'name' => 'next_ft', + 'offset' => '0', + 'type' => '282613' + }, + '1' => { + 'name' => 'devx_obj', + 'offset' => '8', + 'type' => '19197' + }, + '2' => { + 'name' => 'rx_icm_addr', + 'offset' => '22', + 'type' => '2023' + }, + '3' => { + 'name' => 'tx_icm_addr', + 'offset' => '36', + 'type' => '2023' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '304148' => { + 'Header' => undef, + 'Line' => '1281', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'term_tbl', + 'offset' => '8', + 'type' => '304229' + }, + '2' => { + 'name' => 'sampler_default', + 'offset' => '22', + 'type' => '304234' + }, + '3' => { + 'name' => 'restore_tbl', + 'offset' => '36', + 'type' => '304239' + }, + '4' => { + 'name' => 'sampler_restore', + 'offset' => '50', + 'type' => '304234' + } + }, + 'Size' => '40', + 'Type' => 'Struct' + }, + '304229' => { + 'BaseType' => '303233', + 'Name' => 'struct dr_devx_tbl_with_refs*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30423' => { + 'BaseType' => '18919', + 'Name' => 'struct mlx5dv_steering_anchor*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '304234' => { + 'BaseType' => '303294', + 'Name' => 'struct dr_flow_sampler*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '304239' => { + 'BaseType' => '303364', + 'Name' => 'struct dr_flow_sampler_restore_tbl*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '304244' => { + 'Header' => undef, + 'Line' => '1289', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'actions_list', + 'offset' => '8', + 'type' => '14402' + }, + '2' => { + 'name' => 'devx_tbl', + 'offset' => '36', + 'type' => '303289' + }, + '3' => { + 'name' => 'rx_icm_addr', + 'offset' => '50', + 'type' => '2023' + }, + '4' => { + 'name' => 'tx_icm_addr', + 'offset' => '64', + 'type' => '2023' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '30428' => { + 'BaseType' => '18863', + 'Name' => 'struct mlx5dv_steering_anchor_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '304325' => { + 'Header' => undef, + 'Line' => '1296', + 'Memb' => { + '0' => { + 'name' => 'devx_obj', + 'offset' => '0', + 'type' => '19197' + }, + '1' => { + 'name' => 'offset', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '304364' => { + 'Header' => undef, + 'Line' => '1300', + 'Memb' => { + '0' => { + 'name' => 'dmn', + 'offset' => '0', + 'type' => '297523' + }, + '1' => { + 'name' => 'caps', + 'offset' => '8', + 'type' => '300499' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '304403' => { + 'Header' => undef, + 'Line' => '1304', + 'Memb' => { + '0' => { + 'name' => 'vlan_hdr', + 'offset' => '0', + 'type' => '2001' + } + }, + 'Size' => '4', + 'Type' => 'Struct' + }, + '304428' => { + 'Header' => undef, + 'Line' => '1309', + 'Memb' => { + '0' => { + 'name' => 'devx_tir', + 'offset' => '0', + 'type' => '19197' + }, + '1' => { + 'name' => 'qp', + 'offset' => '0', + 'type' => '5100' + } + }, + 'Size' => '8', + 'Type' => 'Union' + }, + '304464' => { + 'Header' => undef, + 'Line' => '1307', + 'Memb' => { + '0' => { + 'name' => 'is_qp', + 'offset' => '0', + 'type' => '2091' + }, + '1' => { + 'name' => 'unnamed0', + 'offset' => '8', + 'type' => '304428' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '304495' => { + 'Header' => undef, + 'Line' => '1314', + 'Memb' => { + '0' => { + 'name' => 'tbl', + 'offset' => '0', + 'type' => '282613' + }, + '1' => { + 'name' => 'devx_tbl', + 'offset' => '8', + 'type' => '303289' + }, + '2' => { + 'name' => 'sa', + 'offset' => '22', + 'type' => '30423' + }, + '3' => { + 'name' => 'rx_icm_addr', + 'offset' => '36', + 'type' => '2023' + }, + '4' => { + 'name' => 'tx_icm_addr', + 'offset' => '50', + 'type' => '2023' + } + }, + 'Size' => '40', + 'Type' => 'Struct' + }, + '304575' => { + 'Header' => undef, + 'Line' => '1245', + 'Memb' => { + '0' => { + 'name' => 'rewrite', + 'offset' => '0', + 'type' => '303909' + }, + '1' => { + 'name' => 'reformat', + 'offset' => '0', + 'type' => '304036' + }, + '10' => { + 'name' => 'root_tbl', + 'offset' => '0', + 'type' => '304495' + }, + '11' => { + 'name' => 'aso', + 'offset' => '0', + 'type' => '297191' + }, + '12' => { + 'name' => 'devx_obj', + 'offset' => '0', + 'type' => '19197' + }, + '13' => { + 'name' => 'flow_tag', + 'offset' => '0', + 'type' => '2001' + }, + '2' => { + 'name' => 'meter', + 'offset' => '0', + 'type' => '304081' + }, + '3' => { + 'name' => 'sampler', + 'offset' => '0', + 'type' => '304148' + }, + '4' => { + 'name' => 'dest_tbl', + 'offset' => '0', + 'type' => '282613' + }, + '5' => { + 'name' => 'dest_array', + 'offset' => '0', + 'type' => '304244' + }, + '6' => { + 'name' => 'ctr', + 'offset' => '0', + 'type' => '304325' + }, + '7' => { + 'name' => 'vport', + 'offset' => '0', + 'type' => '304364' + }, + '8' => { + 'name' => 'push_vlan', + 'offset' => '0', + 'type' => '304403' + }, + '9' => { + 'name' => 'dest_qp', + 'offset' => '0', + 'type' => '304464' + } + }, + 'Size' => '72', + 'Type' => 'Union' + }, + '304810' => { + 'BaseType' => '302831', + 'Name' => 'struct dr_matcher_rx_tx*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '304815' => { + 'Header' => undef, + 'Line' => '1354', + 'Memb' => { + '0' => { + 'name' => 'rx', + 'offset' => '0', + 'type' => '295712' + }, + '1' => { + 'name' => 'tx', + 'offset' => '36', + 'type' => '295712' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '30483' => { + 'BaseType' => '16165', + 'Name' => 'struct mlx5dv_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '304852' => { + 'Header' => undef, + 'Line' => '1353', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '304815' + }, + '1' => { + 'name' => 'flow', + 'offset' => '0', + 'type' => '13487' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '304881' => { + 'Header' => undef, + 'Line' => '1714', + 'Memb' => { + '0' => { + 'name' => 'bits', + 'offset' => '0', + 'type' => '305560' + }, + '1' => { + 'name' => 'num_free', + 'offset' => '8', + 'type' => '27761' + }, + '10' => { + 'name' => 'ste_arr', + 'offset' => '260', + 'type' => '295973' + }, + '11' => { + 'name' => 'miss_list', + 'offset' => '274', + 'type' => '39377' + }, + '12' => { + 'name' => 'hw_ste_arr', + 'offset' => '288', + 'type' => '7307' + }, + '13' => { + 'name' => 'hw_ste_sz', + 'offset' => '296', + 'type' => '1977' + }, + '2' => { + 'name' => 'set_bit', + 'offset' => '22', + 'type' => '305560' + }, + '3' => { + 'name' => 'max_order', + 'offset' => '36', + 'type' => '2001' + }, + '4' => { + 'name' => 'list_node', + 'offset' => '50', + 'type' => '14357' + }, + '5' => { + 'name' => 'icm_mr', + 'offset' => '72', + 'type' => '305570' + }, + '6' => { + 'name' => 'pool', + 'offset' => '86', + 'type' => '302543' + }, + '7' => { + 'name' => 'used_list', + 'offset' => '100', + 'type' => '14402' + }, + '8' => { + 'name' => 'used_memory', + 'offset' => '128', + 'type' => '419' + }, + '9' => { + 'name' => 'hot_list', + 'offset' => '136', + 'type' => '14402' + } + }, + 'Name' => 'struct dr_icm_buddy_mem', + 'Size' => '136', + 'Type' => 'Struct' + }, + '305091' => { + 'BaseType' => '304881', + 'Name' => 'struct dr_icm_buddy_mem*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305096' => { + 'Header' => undef, + 'Line' => '1626', + 'Memb' => { + '0' => { + 'name' => 'wqe_head', + 'offset' => '0', + 'type' => '27761' + }, + '1' => { + 'name' => 'wqe_cnt', + 'offset' => '8', + 'type' => '70' + }, + '2' => { + 'name' => 'max_post', + 'offset' => '18', + 'type' => '70' + }, + '3' => { + 'name' => 'head', + 'offset' => '22', + 'type' => '70' + }, + '4' => { + 'name' => 'tail', + 'offset' => '32', + 'type' => '70' + }, + '5' => { + 'name' => 'cur_post', + 'offset' => '36', + 'type' => '70' + }, + '6' => { + 'name' => 'max_gs', + 'offset' => '40', + 'type' => '159' + }, + '7' => { + 'name' => 'wqe_shift', + 'offset' => '50', + 'type' => '159' + }, + '8' => { + 'name' => 'offset', + 'offset' => '54', + 'type' => '159' + }, + '9' => { + 'name' => 'qend', + 'offset' => '64', + 'type' => '308' + } + }, + 'Name' => 'struct dr_wq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '305250' => { + 'Header' => undef, + 'Line' => '1639', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '26968' + }, + '1' => { + 'name' => 'sq', + 'offset' => '100', + 'type' => '305096' + }, + '10' => { + 'name' => 'db_umem', + 'offset' => '534', + 'type' => '29526' + }, + '2' => { + 'name' => 'rq', + 'offset' => '274', + 'type' => '305096' + }, + '3' => { + 'name' => 'sq_size', + 'offset' => '352', + 'type' => '159' + }, + '4' => { + 'name' => 'sq_start', + 'offset' => '360', + 'type' => '308' + }, + '5' => { + 'name' => 'max_inline_data', + 'offset' => '374', + 'type' => '159' + }, + '6' => { + 'name' => 'db', + 'offset' => '388', + 'type' => '19546' + }, + '7' => { + 'name' => 'obj', + 'offset' => '402', + 'type' => '19197' + }, + '8' => { + 'name' => 'uar', + 'offset' => '512', + 'type' => '29470' + }, + '9' => { + 'name' => 'buf_umem', + 'offset' => '520', + 'type' => '29526' + } + }, + 'Name' => 'struct dr_qp', + 'Size' => '232', + 'Type' => 'Struct' + }, + '305431' => { + 'Header' => undef, + 'Line' => '1654', + 'Memb' => { + '0' => { + 'name' => 'buf', + 'offset' => '0', + 'type' => '7307' + }, + '1' => { + 'name' => 'cons_index', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'ncqe', + 'offset' => '18', + 'type' => '159' + }, + '3' => { + 'name' => 'qp', + 'offset' => '22', + 'type' => '305555' + }, + '4' => { + 'name' => 'db', + 'offset' => '36', + 'type' => '19546' + }, + '5' => { + 'name' => 'ibv_cq', + 'offset' => '50', + 'type' => '4900' + }, + '6' => { + 'name' => 'cqn', + 'offset' => '64', + 'type' => '2001' + }, + '7' => { + 'name' => 'cqe_sz', + 'offset' => '68', + 'type' => '2001' + } + }, + 'Name' => 'struct dr_cq', + 'Size' => '48', + 'Type' => 'Struct' + }, + '305555' => { + 'BaseType' => '305250', + 'Name' => 'struct dr_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305560' => { + 'BaseType' => '22291', + 'Name' => 'unsigned long**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305565' => { + 'Header' => undef, + 'Line' => '49', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '6126' + }, + '1' => { + 'name' => 'dm', + 'offset' => '8', + 'type' => '2979' + }, + '2' => { + 'name' => 'icm_start_addr', + 'offset' => '22', + 'type' => '2023' + } + }, + 'Name' => 'struct dr_icm_mr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '305570' => { + 'BaseType' => '305565', + 'Name' => 'struct dr_icm_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305575' => { + 'BaseType' => '305587', + 'Header' => undef, + 'Line' => '152', + 'Name' => 'dr_ste_builder_void_init', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '305587' => { + 'Name' => 'void(*)(struct dr_ste_build*, struct dr_match_param*)', + 'Param' => { + '0' => { + 'type' => '297010' + }, + '1' => { + 'type' => '296889' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305643' => { + 'Name' => 'int(*)(struct mlx5dv_devx_obj*, struct mlx5dv_dr_domain*, struct mlx5dv_dr_domain*, uint32_t, uint8_t)', + 'Param' => { + '0' => { + 'type' => '19197' + }, + '1' => { + 'type' => '297523' + }, + '2' => { + 'type' => '297523' + }, + '3' => { + 'type' => '2001' + }, + '4' => { + 'type' => '1977' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305674' => { + 'Name' => 'void(*)(uint8_t*, uint16_t, _Bool, uint16_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '1989' + }, + '2' => { + 'type' => '2091' + }, + '3' => { + 'type' => '1989' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305695' => { + 'Name' => 'void(*)(uint8_t*, uint16_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '1989' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305715' => { + 'Name' => 'uint16_t(*)(uint8_t*)', + 'Param' => { + '0' => { + 'type' => '7307' + } + }, + 'Return' => '1989', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305736' => { + 'Name' => 'void(*)(uint8_t*, uint64_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '2023' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305756' => { + 'Name' => 'uint64_t(*)(uint8_t*)', + 'Param' => { + '0' => { + 'type' => '7307' + } + }, + 'Return' => '2023', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305782' => { + 'Name' => 'void(*)(uint8_t*, uint64_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '2023' + }, + '2' => { + 'type' => '2001' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305823' => { + 'Name' => 'void(*)(uint8_t*, uint16_t, uint16_t, uint64_t, uint32_t, uint16_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '1989' + }, + '2' => { + 'type' => '1989' + }, + '3' => { + 'type' => '2023' + }, + '4' => { + 'type' => '2001' + }, + '5' => { + 'type' => '1989' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305849' => { + 'Name' => 'void(*)(uint8_t*, uint64_t, uint16_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '2023' + }, + '2' => { + 'type' => '1989' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305854' => { + 'BaseType' => '303228', + 'Name' => 'struct dr_ste_action_modify_field const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305890' => { + 'BaseType' => '297597', + 'Name' => 'struct dr_ste_actions_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305895' => { + 'Name' => 'void(*)(uint8_t*, uint32_t, uint8_t*, struct dr_ste_actions_attr*, uint32_t*)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '7307' + }, + '3' => { + 'type' => '305890' + }, + '4' => { + 'type' => '14267' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '30593' => { + 'BaseType' => '21608', + 'Name' => 'struct mlx5dv_sched_attr const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '305931' => { + 'Name' => 'void(*)(uint8_t*, uint8_t, uint8_t, uint8_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '1977' + }, + '2' => { + 'type' => '1977' + }, + '3' => { + 'type' => '1977' + }, + '4' => { + 'type' => '2001' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '305972' => { + 'Name' => 'void(*)(uint8_t*, uint8_t, uint8_t, uint8_t, uint8_t, uint8_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '1977' + }, + '2' => { + 'type' => '1977' + }, + '3' => { + 'type' => '1977' + }, + '4' => { + 'type' => '1977' + }, + '5' => { + 'type' => '1977' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '306002' => { + 'Name' => 'struct dr_ste_action_modify_field const*(*)(struct dr_ste_ctx*, uint16_t, struct dr_devx_caps*)', + 'Param' => { + '0' => { + 'type' => '302533' + }, + '1' => { + 'type' => '1989' + }, + '2' => { + 'type' => '296859' + } + }, + 'Return' => '305854', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '306042' => { + 'Name' => 'int(*)(void*, uint32_t, uint8_t*, uint32_t, uint16_t*)', + 'Param' => { + '0' => { + 'type' => '308' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '7307' + }, + '3' => { + 'type' => '2001' + }, + '4' => { + 'type' => '29290' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '306078' => { + 'Name' => 'void(*)(uint8_t*, uint32_t, uint32_t, uint8_t, _Bool)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2001' + }, + '3' => { + 'type' => '1977' + }, + '4' => { + 'type' => '2091' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '306103' => { + 'Name' => 'int(*)(struct mlx5dv_dr_action*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '282755' + }, + '1' => { + 'type' => '2001' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '306119' => { + 'Name' => 'void(*)(struct mlx5dv_dr_action*)', + 'Param' => { + '0' => { + 'type' => '282755' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '306140' => { + 'Name' => 'void(*)(uint8_t*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '7307' + }, + '1' => { + 'type' => '2001' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '30623' => { + 'BaseType' => '28751', + 'Name' => 'struct mlx5dv_sched_leaf*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '306758' => { + 'BaseType' => '282391', + 'Name' => 'struct mlx5dv_dr_flow_meter_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '306845' => { + 'BaseType' => '2215', + 'Name' => '__be64*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30748' => { + 'BaseType' => '28793', + 'Name' => 'struct mlx5dv_sched_leaf const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '308' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30858' => { + 'BaseType' => '21064', + 'Name' => 'struct mlx5dv_clock_info*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30898' => { + 'BaseType' => '15563', + 'Name' => 'struct mlx5_ib_uapi_query_port*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '309471' => { + 'BaseType' => '309476', + 'Name' => 'struct mlx5dv_dr_action_dest_attr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '309476' => { + 'BaseType' => '282896', + 'Name' => 'struct mlx5dv_dr_action_dest_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '30948' => { + 'BaseType' => '21660', + 'Name' => 'struct mlx5dv_devx_msi_vector*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '310' => { + 'BaseType' => '188', + 'Header' => undef, + 'Line' => '194', + 'Name' => '__ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '31013' => { + 'BaseType' => '21701', + 'Name' => 'struct mlx5dv_devx_eq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '312192' => { + 'BaseType' => '282618', + 'Name' => 'struct mlx5dv_dr_flow_sampler_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '346' => { + 'BaseType' => '356', + 'Name' => 'char*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '3558' => { + 'BaseType' => '356', + 'Name' => 'char[64]', + 'Size' => '64', + 'Type' => 'Array' + }, + '356' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '3606' => { + 'Header' => undef, + 'Line' => '241', + 'Memb' => { + '0' => { + 'name' => 'rc_odp_caps', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'uc_odp_caps', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'ud_odp_caps', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Size' => '12', + 'Type' => 'Struct' + }, + '363' => { + 'BaseType' => '356', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '3655' => { + 'Header' => undef, + 'Line' => '239', + 'Memb' => { + '0' => { + 'name' => 'general_caps', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'per_transport_caps', + 'offset' => '8', + 'type' => '3606' + } + }, + 'Name' => 'struct ibv_odp_caps', + 'Size' => '24', + 'Type' => 'Struct' + }, + '3695' => { + 'Header' => undef, + 'Line' => '253', + 'Memb' => { + '0' => { + 'name' => 'max_tso', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'supported_qpts', + 'offset' => '4', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_tso_caps', + 'Size' => '8', + 'Type' => 'Struct' + }, + '3735' => { + 'Header' => undef, + 'Line' => '284', + 'Memb' => { + '0' => { + 'name' => 'supported_qpts', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'max_rwq_indirection_tables', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'max_rwq_indirection_table_size', + 'offset' => '8', + 'type' => '2001' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '22', + 'type' => '2023' + }, + '4' => { + 'name' => 'rx_hash_function', + 'offset' => '36', + 'type' => '1977' + } + }, + 'Name' => 'struct ibv_rss_caps', + 'Size' => '32', + 'Type' => 'Struct' + }, + '3819' => { + 'Header' => undef, + 'Line' => '292', + 'Memb' => { + '0' => { + 'name' => 'qp_rate_limit_min', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'qp_rate_limit_max', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'supported_qpts', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_packet_pacing_caps', + 'Size' => '12', + 'Type' => 'Struct' + }, + '3875' => { + 'Header' => undef, + 'Line' => '309', + 'Memb' => { + '0' => { + 'name' => 'max_rndv_hdr_size', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'max_num_tags', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'flags', + 'offset' => '8', + 'type' => '2001' + }, + '3' => { + 'name' => 'max_ops', + 'offset' => '18', + 'type' => '2001' + }, + '4' => { + 'name' => 'max_sge', + 'offset' => '22', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_tm_caps', + 'Size' => '20', + 'Type' => 'Struct' + }, + '39377' => { + 'BaseType' => '14402', + 'Name' => 'struct list_head*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '3959' => { + 'Header' => undef, + 'Line' => '322', + 'Memb' => { + '0' => { + 'name' => 'max_cq_count', + 'offset' => '0', + 'type' => '1989' + }, + '1' => { + 'name' => 'max_cq_period', + 'offset' => '2', + 'type' => '1989' + } + }, + 'Name' => 'struct ibv_cq_moderation_caps', + 'Size' => '4', + 'Type' => 'Struct' + }, + '4001' => { + 'Header' => undef, + 'Line' => '337', + 'Memb' => { + '0' => { + 'name' => 'fetch_add', + 'offset' => '0', + 'type' => '1989' + }, + '1' => { + 'name' => 'swap', + 'offset' => '2', + 'type' => '1989' + }, + '2' => { + 'name' => 'compare_swap', + 'offset' => '4', + 'type' => '1989' + } + }, + 'Name' => 'struct ibv_pci_atomic_caps', + 'Size' => '6', + 'Type' => 'Struct' + }, + '4057' => { + 'Header' => undef, + 'Line' => '343', + 'Memb' => { + '0' => { + 'name' => 'orig_attr', + 'offset' => '0', + 'type' => '3024' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '562', + 'type' => '2001' + }, + '10' => { + 'name' => 'raw_packet_caps', + 'offset' => '836', + 'type' => '2001' + }, + '11' => { + 'name' => 'tm_caps', + 'offset' => '840', + 'type' => '3875' + }, + '12' => { + 'name' => 'cq_mod_caps', + 'offset' => '872', + 'type' => '3959' + }, + '13' => { + 'name' => 'max_dm_size', + 'offset' => '886', + 'type' => '2023' + }, + '14' => { + 'name' => 'pci_atomic_caps', + 'offset' => '900', + 'type' => '4001' + }, + '15' => { + 'name' => 'xrc_odp_caps', + 'offset' => '914', + 'type' => '2001' + }, + '16' => { + 'name' => 'phys_port_cnt_ex', + 'offset' => '918', + 'type' => '2001' + }, + '2' => { + 'name' => 'odp_caps', + 'offset' => '576', + 'type' => '3655' + }, + '3' => { + 'name' => 'completion_timestamp_mask', + 'offset' => '612', + 'type' => '2023' + }, + '4' => { + 'name' => 'hca_core_clock', + 'offset' => '626', + 'type' => '2023' + }, + '5' => { + 'name' => 'device_cap_flags_ex', + 'offset' => '640', + 'type' => '2023' + }, + '6' => { + 'name' => 'tso_caps', + 'offset' => '648', + 'type' => '3695' + }, + '7' => { + 'name' => 'rss_caps', + 'offset' => '662', + 'type' => '3735' + }, + '8' => { + 'name' => 'max_wq_type_rq', + 'offset' => '808', + 'type' => '2001' + }, + '9' => { + 'name' => 'packet_pacing_caps', + 'offset' => '818', + 'type' => '3819' + } + }, + 'Name' => 'struct ibv_device_attr_ex', + 'Size' => '400', + 'Type' => 'Struct' + }, + '419' => { + 'BaseType' => '82', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '443' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '46' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '4760' => { + 'Header' => undef, + 'Line' => '1507', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '9991' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '308' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '2001' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '159' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '893' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '966' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '2001' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '4900' => { + 'BaseType' => '4760', + 'Name' => 'struct ibv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4905' => { + 'Header' => undef, + 'Line' => '1282', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '308' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '100', + 'type' => '893' + }, + '11' => { + 'name' => 'cond', + 'offset' => '260', + 'type' => '966' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '338', + 'type' => '2001' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '6312' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '36', + 'type' => '4900' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '50', + 'type' => '4900' + }, + '5' => { + 'name' => 'srq', + 'offset' => '64', + 'type' => '5216' + }, + '6' => { + 'name' => 'handle', + 'offset' => '72', + 'type' => '2001' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '82', + 'type' => '2001' + }, + '8' => { + 'name' => 'state', + 'offset' => '86', + 'type' => '7638' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '96', + 'type' => '7094' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '5100' => { + 'BaseType' => '4905', + 'Name' => 'struct ibv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5105' => { + 'Header' => undef, + 'Line' => '1242', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '308' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '6312' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '2001' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '893' + }, + '5' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '966' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '288', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '5216' => { + 'BaseType' => '5105', + 'Name' => 'struct ibv_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5221' => { + 'Header' => undef, + 'Line' => '1264', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'wq_context', + 'offset' => '8', + 'type' => '308' + }, + '10' => { + 'name' => 'cond', + 'offset' => '150', + 'type' => '966' + }, + '11' => { + 'name' => 'events_completed', + 'offset' => '324', + 'type' => '2001' + }, + '12' => { + 'name' => 'comp_mask', + 'offset' => '328', + 'type' => '2001' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '6312' + }, + '3' => { + 'name' => 'cq', + 'offset' => '36', + 'type' => '4900' + }, + '4' => { + 'name' => 'wq_num', + 'offset' => '50', + 'type' => '2001' + }, + '5' => { + 'name' => 'handle', + 'offset' => '54', + 'type' => '2001' + }, + '6' => { + 'name' => 'state', + 'offset' => '64', + 'type' => '6838' + }, + '7' => { + 'name' => 'wq_type', + 'offset' => '68', + 'type' => '6691' + }, + '8' => { + 'name' => 'post_recv', + 'offset' => '72', + 'type' => '9043' + }, + '9' => { + 'name' => 'mutex', + 'offset' => '86', + 'type' => '893' + } + }, + 'Name' => 'struct ibv_wq', + 'Size' => '152', + 'Type' => 'Struct' + }, + '534693' => { + 'Header' => undef, + 'Line' => '1991', + 'Memb' => { + '0' => { + 'name' => 'flags', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'log_num_of_rules_hint', + 'offset' => '4', + 'type' => '2001' + } + }, + 'Name' => 'struct mlx5dv_dr_matcher_layout', + 'Size' => '8', + 'Type' => 'Struct' + }, + '5415' => { + 'BaseType' => '5221', + 'Name' => 'struct ibv_wq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5420' => { + 'Header' => undef, + 'Line' => '484', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '5581' => { + 'Header' => undef, + 'Line' => '512', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '11' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + }, + '12' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '13' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '14' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '15' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '16' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '17' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '18' => { + 'name' => 'IBV_WC_DRIVER2', + 'value' => '136' + }, + '19' => { + 'name' => 'IBV_WC_DRIVER3', + 'value' => '137' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_FLUSH', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_ATOMIC_WRITE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '558583' => { + 'BaseType' => '534693', + 'Name' => 'struct mlx5dv_dr_matcher_layout*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '5718' => { + 'Header' => undef, + 'Line' => '597', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '2203' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '2001' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '5754' => { + 'Header' => undef, + 'Line' => '588', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '5420' + }, + '10' => { + 'name' => 'slid', + 'offset' => '66', + 'type' => '1989' + }, + '11' => { + 'name' => 'sl', + 'offset' => '68', + 'type' => '1977' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '69', + 'type' => '1977' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '18', + 'type' => '5581' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '22', + 'type' => '2001' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '32', + 'type' => '2001' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '5718' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '40', + 'type' => '2001' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '50', + 'type' => '2001' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '54', + 'type' => '70' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '64', + 'type' => '1989' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '58' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '5941' => { + 'Header' => undef, + 'Line' => '624', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '6126' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '2023' + }, + '2' => { + 'name' => 'length', + 'offset' => '22', + 'type' => '2023' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '36', + 'type' => '70' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '6010' => { + 'BaseType' => '5941', + 'Name' => 'struct ibv_mw_bind_info const', + 'Size' => '32', + 'Type' => 'Const' + }, + '6015' => { + 'Header' => undef, + 'Line' => '667', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '6312' + }, + '2' => { + 'name' => 'addr', + 'offset' => '22', + 'type' => '308' + }, + '3' => { + 'name' => 'length', + 'offset' => '36', + 'type' => '419' + }, + '4' => { + 'name' => 'handle', + 'offset' => '50', + 'type' => '2001' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '54', + 'type' => '2001' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '64', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '6126' => { + 'BaseType' => '6015', + 'Name' => 'struct ibv_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6131' => { + 'Header' => undef, + 'Line' => '631', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '6201' => { + 'Header' => undef, + 'Line' => '640', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + } + }, + 'Name' => 'struct ibv_td', + 'Size' => '8', + 'Type' => 'Struct' + }, + '6284' => { + 'Header' => undef, + 'Line' => '656', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '6312' => { + 'BaseType' => '6131', + 'Name' => 'struct ibv_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '63166' => { + 'BaseType' => '1977', + 'Name' => 'uint8_t[32]', + 'Size' => '32', + 'Type' => 'Array' + }, + '6317' => { + 'Header' => undef, + 'Line' => '677', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '6346' => { + 'Header' => undef, + 'Line' => '682', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '6312' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '22', + 'type' => '2001' + }, + '3' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '2001' + }, + '4' => { + 'name' => 'type', + 'offset' => '36', + 'type' => '6317' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '6686' => { + 'BaseType' => '6284', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '6691' => { + 'Header' => undef, + 'Line' => '819', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQT_RQ', + 'value' => '0' + } + }, + 'Name' => 'enum ibv_wq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '6714' => { + 'Header' => undef, + 'Line' => '836', + 'Memb' => { + '0' => { + 'name' => 'wq_context', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'wq_type', + 'offset' => '8', + 'type' => '6691' + }, + '2' => { + 'name' => 'max_wr', + 'offset' => '18', + 'type' => '2001' + }, + '3' => { + 'name' => 'max_sge', + 'offset' => '22', + 'type' => '2001' + }, + '4' => { + 'name' => 'pd', + 'offset' => '36', + 'type' => '6312' + }, + '5' => { + 'name' => 'cq', + 'offset' => '50', + 'type' => '4900' + }, + '6' => { + 'name' => 'comp_mask', + 'offset' => '64', + 'type' => '2001' + }, + '7' => { + 'name' => 'create_flags', + 'offset' => '68', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_wq_init_attr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '6838' => { + 'Header' => undef, + 'Line' => '847', + 'Memb' => { + '0' => { + 'name' => 'IBV_WQS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WQS_RDY', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_WQS_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WQS_UNKNOWN', + 'value' => '3' + } + }, + 'Name' => 'enum ibv_wq_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '6963' => { + 'Header' => undef, + 'Line' => '879', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '159' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '18', + 'type' => '159' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '70' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '7094' => { + 'Header' => undef, + 'Line' => '900', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7153' => { + 'Header' => undef, + 'Line' => '910', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '2001' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '2001' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '2001' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '18', + 'type' => '2001' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '22', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '7237' => { + 'Header' => undef, + 'Line' => '962', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '1977' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '1977' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '7307' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '22', + 'type' => '2023' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '7307' => { + 'BaseType' => '1977', + 'Name' => 'uint8_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7312' => { + 'Header' => undef, + 'Line' => '971', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '4900' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '128', + 'type' => '2001' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '132', + 'type' => '1989' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '136', + 'type' => '7549' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '150', + 'type' => '7237' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '288', + 'type' => '2001' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '296', + 'type' => '2023' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '4900' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '5216' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '7153' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '7094' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '159' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '96', + 'type' => '2001' + }, + '8' => { + 'name' => 'pd', + 'offset' => '100', + 'type' => '6312' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '114', + 'type' => '6686' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '7549' => { + 'BaseType' => '6963', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7638' => { + 'Header' => undef, + 'Line' => '1049', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7773' => { + 'Header' => undef, + 'Line' => '1102', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WR_FLUSH', + 'value' => '14' + }, + '13' => { + 'name' => 'IBV_WR_ATOMIC_WRITE', + 'value' => '15' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '7874' => { + 'Header' => undef, + 'Line' => '1139', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '419' + } + }, + 'Name' => 'struct ibv_data_buf', + 'Size' => '16', + 'Type' => 'Struct' + }, + '7916' => { + 'BaseType' => '7874', + 'Name' => 'struct ibv_data_buf const', + 'Size' => '16', + 'Type' => 'Const' + }, + '7921' => { + 'Header' => undef, + 'Line' => '1144', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '18', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '7977' => { + 'BaseType' => '7921', + 'Name' => 'struct ibv_sge const', + 'Size' => '16', + 'Type' => 'Const' + }, + '7982' => { + 'Header' => undef, + 'Line' => '1160', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '2203' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '2001' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '8018' => { + 'Header' => undef, + 'Line' => '1165', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '2001' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '8057' => { + 'Header' => undef, + 'Line' => '1169', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '2023' + }, + '2' => { + 'name' => 'swap', + 'offset' => '22', + 'type' => '2023' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '36', + 'type' => '2001' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '8124' => { + 'Header' => undef, + 'Line' => '1175', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '8231' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '18', + 'type' => '2001' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '8176' => { + 'Header' => undef, + 'Line' => '1694', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '6312' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '82' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '8231' => { + 'BaseType' => '8176', + 'Name' => 'struct ibv_ah*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8236' => { + 'Header' => undef, + 'Line' => '1164', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '8018' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '8057' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '8124' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '8284' => { + 'Header' => undef, + 'Line' => '1182', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '2001' + } + }, + 'Size' => '4', + 'Type' => 'Struct' + }, + '8309' => { + 'Header' => undef, + 'Line' => '1181', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '8284' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '8332' => { + 'Header' => undef, + 'Line' => '1187', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '8384' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '2001' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '5941' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '8384' => { + 'BaseType' => '6346', + 'Name' => 'struct ibv_mw*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8389' => { + 'Header' => undef, + 'Line' => '1192', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '308' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '1989' + }, + '2' => { + 'name' => 'mss', + 'offset' => '16', + 'type' => '1989' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '8442' => { + 'Header' => undef, + 'Line' => '1186', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '8332' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '8389' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '8478' => { + 'Header' => undef, + 'Line' => '1150', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '8615' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '8620' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '159' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '40', + 'type' => '7773' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '50', + 'type' => '70' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '54', + 'type' => '7982' + }, + '7' => { + 'name' => 'wr', + 'offset' => '64', + 'type' => '8236' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '114', + 'type' => '8309' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '128', + 'type' => '8442' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '8615' => { + 'BaseType' => '8478', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8620' => { + 'BaseType' => '7921', + 'Name' => 'struct ibv_sge*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8625' => { + 'Header' => undef, + 'Line' => '1200', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '8695' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '8620' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '159' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '8695' => { + 'BaseType' => '8625', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '8957' => { + 'Header' => undef, + 'Line' => '1236', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '2023' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '70' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '5941' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '9038' => { + 'BaseType' => '8695', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9043' => { + 'Name' => 'int(*)(struct ibv_wq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '5415' + }, + '1' => { + 'type' => '8695' + }, + '2' => { + 'type' => '9038' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9048' => { + 'Header' => undef, + 'Line' => '1299', + 'Memb' => { + '0' => { + 'name' => 'qp_base', + 'offset' => '0', + 'type' => '4905' + }, + '1' => { + 'name' => 'comp_mask', + 'offset' => '352', + 'type' => '2023' + }, + '10' => { + 'name' => 'wr_rdma_write_imm', + 'offset' => '562', + 'type' => '9621' + }, + '11' => { + 'name' => 'wr_send', + 'offset' => '576', + 'type' => '9637' + }, + '12' => { + 'name' => 'wr_send_imm', + 'offset' => '584', + 'type' => '9658' + }, + '13' => { + 'name' => 'wr_send_inv', + 'offset' => '598', + 'type' => '9564' + }, + '14' => { + 'name' => 'wr_send_tso', + 'offset' => '612', + 'type' => '9689' + }, + '15' => { + 'name' => 'wr_set_ud_addr', + 'offset' => '626', + 'type' => '9720' + }, + '16' => { + 'name' => 'wr_set_xrc_srqn', + 'offset' => '640', + 'type' => '9564' + }, + '17' => { + 'name' => 'wr_set_inline_data', + 'offset' => '648', + 'type' => '9746' + }, + '18' => { + 'name' => 'wr_set_inline_data_list', + 'offset' => '662', + 'type' => '9777' + }, + '19' => { + 'name' => 'wr_set_sge', + 'offset' => '772', + 'type' => '9808' + }, + '2' => { + 'name' => 'wr_id', + 'offset' => '360', + 'type' => '2023' + }, + '20' => { + 'name' => 'wr_set_sge_list', + 'offset' => '786', + 'type' => '9839' + }, + '21' => { + 'name' => 'wr_start', + 'offset' => '800', + 'type' => '9637' + }, + '22' => { + 'name' => 'wr_complete', + 'offset' => '808', + 'type' => '9859' + }, + '23' => { + 'name' => 'wr_abort', + 'offset' => '822', + 'type' => '9637' + }, + '24' => { + 'name' => 'wr_atomic_write', + 'offset' => '836', + 'type' => '9890' + }, + '25' => { + 'name' => 'wr_flush', + 'offset' => '850', + 'type' => '9931' + }, + '3' => { + 'name' => 'wr_flags', + 'offset' => '374', + 'type' => '70' + }, + '4' => { + 'name' => 'wr_atomic_cmp_swp', + 'offset' => '388', + 'type' => '9476' + }, + '5' => { + 'name' => 'wr_atomic_fetch_add', + 'offset' => '402', + 'type' => '9507' + }, + '6' => { + 'name' => 'wr_bind_mw', + 'offset' => '512', + 'type' => '9543' + }, + '7' => { + 'name' => 'wr_local_inv', + 'offset' => '520', + 'type' => '9564' + }, + '8' => { + 'name' => 'wr_rdma_read', + 'offset' => '534', + 'type' => '9590' + }, + '9' => { + 'name' => 'wr_rdma_write', + 'offset' => '548', + 'type' => '9590' + } + }, + 'Name' => 'struct ibv_qp_ex', + 'Size' => '360', + 'Type' => 'Struct' + }, + '9471' => { + 'BaseType' => '9048', + 'Name' => 'struct ibv_qp_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9476' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2023' + }, + '3' => { + 'type' => '2023' + }, + '4' => { + 'type' => '2023' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '950' => { + 'BaseType' => '356', + 'Name' => 'char[48]', + 'Size' => '48', + 'Type' => 'Array' + }, + '9507' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2023' + }, + '3' => { + 'type' => '2023' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9538' => { + 'BaseType' => '6010', + 'Name' => 'struct ibv_mw_bind_info const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9543' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_mw*, uint32_t, struct ibv_mw_bind_info const*)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '8384' + }, + '2' => { + 'type' => '2001' + }, + '3' => { + 'type' => '9538' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9564' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2001' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9590' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2023' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9621' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, __be32)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2023' + }, + '3' => { + 'type' => '2203' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9637' => { + 'Name' => 'void(*)(struct ibv_qp_ex*)', + 'Param' => { + '0' => { + 'type' => '9471' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9658' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, __be32)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2203' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9689' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, void*, uint16_t, uint16_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '308' + }, + '2' => { + 'type' => '1989' + }, + '3' => { + 'type' => '1989' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9720' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, struct ibv_ah*, uint32_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '8231' + }, + '2' => { + 'type' => '2001' + }, + '3' => { + 'type' => '2001' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9746' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, void*, size_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '308' + }, + '2' => { + 'type' => '419' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9772' => { + 'BaseType' => '7916', + 'Name' => 'struct ibv_data_buf const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9777' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_data_buf const*)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '419' + }, + '2' => { + 'type' => '9772' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '978' => { + 'BaseType' => '356', + 'Name' => 'char[8]', + 'Size' => '8', + 'Type' => 'Array' + }, + '9808' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, uint32_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2023' + }, + '3' => { + 'type' => '2001' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9834' => { + 'BaseType' => '7977', + 'Name' => 'struct ibv_sge const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9839' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, size_t, struct ibv_sge const*)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '419' + }, + '2' => { + 'type' => '9834' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9859' => { + 'Name' => 'int(*)(struct ibv_qp_ex*)', + 'Param' => { + '0' => { + 'type' => '9471' + } + }, + 'Return' => '159', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9890' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, void const*)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2023' + }, + '3' => { + 'type' => '1961' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9931' => { + 'Name' => 'void(*)(struct ibv_qp_ex*, uint32_t, uint64_t, size_t, uint8_t, uint8_t)', + 'Param' => { + '0' => { + 'type' => '9471' + }, + '1' => { + 'type' => '2001' + }, + '2' => { + 'type' => '2023' + }, + '3' => { + 'type' => '419' + }, + '4' => { + 'type' => '1977' + }, + '5' => { + 'type' => '1977' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '9936' => { + 'Header' => undef, + 'Line' => '1501', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '2944' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '159' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '18', + 'type' => '159' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '994' => { + 'BaseType' => '166', + 'Header' => undef, + 'Line' => '103', + 'Name' => 'pthread_spinlock_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '9991' => { + 'BaseType' => '9936', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '9996' => { + 'Header' => undef, + 'Line' => '1520', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '2001' + } + }, + 'Name' => 'struct ibv_poll_cq_attr', + 'Size' => '4', + 'Type' => 'Struct' + } + }, + 'UndefinedSymbols' => { + 'libmlx5.so.1.24.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__fprintf_chk@GLIBC_2.3.4' => 0, + '__gmon_start__' => 0, + '__isoc99_sscanf@GLIBC_2.7' => 0, + '__memcpy_chk@GLIBC_2.3.4' => 0, + '__pread_chk@GLIBC_2.4' => 0, + '__snprintf_chk@GLIBC_2.3.4' => 0, + '__sprintf_chk@GLIBC_2.3.4' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + '__strncat_chk@GLIBC_2.3.4' => 0, + '__vfprintf_chk@GLIBC_2.3.4' => 0, + '_verbs_init_and_alloc_context@IBVERBS_PRIVATE_34' => 0, + 'abort@GLIBC_2.2.5' => 0, + 'basename@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'close@GLIBC_2.2.5' => 0, + 'eventfd@GLIBC_2.7' => 0, + 'execute_ioctl@IBVERBS_PRIVATE_34' => 0, + 'fclose@GLIBC_2.2.5' => 0, + 'fcntl@GLIBC_2.2.5' => 0, + 'fgets@GLIBC_2.2.5' => 0, + 'fopen@GLIBC_2.2.5' => 0, + 'fputc@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'fwrite@GLIBC_2.2.5' => 0, + 'getenv@GLIBC_2.2.5' => 0, + 'gethostname@GLIBC_2.2.5' => 0, + 'getpid@GLIBC_2.2.5' => 0, + 'getrandom@GLIBC_2.25' => 0, + 'gettimeofday@GLIBC_2.2.5' => 0, + 'ibv_alloc_pd@IBVERBS_1.1' => 0, + 'ibv_cmd_advise_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_alloc_dm@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_alloc_mw@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_alloc_pd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_attach_mcast@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_close_xrcd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_ah@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_counters@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_cq_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_flow@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_flow_action_esp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_qp_ex2@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_qp_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_rwq_ind_table@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_srq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_srq_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_create_wq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dealloc_mw@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dealloc_pd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_dereg_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_ah@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_counters@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_flow@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_flow_action@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_rwq_ind_table@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_srq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_destroy_wq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_detach_mcast@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_free_dm@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_get_context@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_flow_action_esp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_qp_ex@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_srq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_modify_wq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_open_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_open_xrcd@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_context@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_device_any@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_port@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_qp@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_query_srq@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_read_counters@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_reg_dm_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_reg_dmabuf_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_reg_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_rereg_mr@IBVERBS_PRIVATE_34' => 0, + 'ibv_cmd_resize_cq@IBVERBS_PRIVATE_34' => 0, + 'ibv_create_cq@IBVERBS_1.1' => 0, + 'ibv_dealloc_pd@IBVERBS_1.1' => 0, + 'ibv_dereg_mr@IBVERBS_1.1' => 0, + 'ibv_destroy_cq@IBVERBS_1.1' => 0, + 'ibv_dofork_range@IBVERBS_1.1' => 0, + 'ibv_dontfork_range@IBVERBS_1.1' => 0, + 'ibv_get_device_name@IBVERBS_1.1' => 0, + 'ibv_qp_to_qp_ex@IBVERBS_1.6' => 0, + 'ibv_query_device@IBVERBS_1.1' => 0, + 'ibv_query_gid_type@IBVERBS_PRIVATE_34' => 0, + 'ibv_query_port@IBVERBS_1.1' => 0, + 'ibv_reg_mr@IBVERBS_1.1' => 0, + 'ibv_resolve_eth_l2_from_gid@IBVERBS_1.1' => 0, + 'ioctl@GLIBC_2.2.5' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcmp@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'mmap@GLIBC_2.2.5' => 0, + 'munmap@GLIBC_2.2.5' => 0, + 'open@GLIBC_2.2.5' => 0, + 'poll@GLIBC_2.2.5' => 0, + 'posix_memalign@GLIBC_2.2.5' => 0, + 'pthread_mutex_destroy@GLIBC_2.2.5' => 0, + 'pthread_mutex_init@GLIBC_2.2.5' => 0, + 'pthread_mutex_lock@GLIBC_2.2.5' => 0, + 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, + 'pthread_spin_destroy@GLIBC_2.34' => 0, + 'pthread_spin_init@GLIBC_2.34' => 0, + 'pthread_spin_lock@GLIBC_2.34' => 0, + 'pthread_spin_unlock@GLIBC_2.34' => 0, + 'pwrite@GLIBC_2.2.5' => 0, + 'rand_r@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'readlink@GLIBC_2.2.5' => 0, + 'realloc@GLIBC_2.2.5' => 0, + 'sched_getaffinity@GLIBC_2.3.4' => 0, + 'sched_yield@GLIBC_2.2.5' => 0, + 'shmat@GLIBC_2.2.5' => 0, + 'shmctl@GLIBC_2.2.5' => 0, + 'shmdt@GLIBC_2.2.5' => 0, + 'shmget@GLIBC_2.2.5' => 0, + 'sleep@GLIBC_2.2.5' => 0, + 'stat@GLIBC_2.33' => 0, + 'stderr@GLIBC_2.2.5' => 0, + 'strcasecmp@GLIBC_2.2.5' => 0, + 'strchr@GLIBC_2.2.5' => 0, + 'strdup@GLIBC_2.2.5' => 0, + 'strerror@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strncpy@GLIBC_2.2.5' => 0, + 'strrchr@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'strtoul@GLIBC_2.2.5' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'time@GLIBC_2.2.5' => 0, + 'usleep@GLIBC_2.2.5' => 0, + 'verbs_allow_disassociate_destroy@IBVERBS_PRIVATE_34' => 0, + 'verbs_init_cq@IBVERBS_PRIVATE_34' => 0, + 'verbs_open_device@IBVERBS_PRIVATE_34' => 0, + 'verbs_register_driver_34@IBVERBS_PRIVATE_34' => 0, + 'verbs_set_ops@IBVERBS_PRIVATE_34' => 0, + 'verbs_uninit_context@IBVERBS_PRIVATE_34' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/ABI/rdmacm.dump b/ABI/rdmacm.dump new file mode 100644 index 000000000..a83a97058 --- /dev/null +++ b/ABI/rdmacm.dump @@ -0,0 +1,5883 @@ +$VAR1 = { + 'ABI_DUMPER_VERSION' => '1.2', + 'ABI_DUMP_VERSION' => '3.5', + 'Arch' => 'x86_64', + 'GccVersion' => '12.3.0', + 'Headers' => {}, + 'Language' => 'C', + 'LibraryName' => 'librdmacm.so.1.3.49.0', + 'LibraryVersion' => 'rdmacm', + 'MissedOffsets' => '1', + 'MissedRegs' => '1', + 'NameSpaces' => {}, + 'Needed' => { + 'ld-linux-x86-64.so.2' => 1, + 'libc.so.6' => 1, + 'libibverbs.so.1' => 1, + 'libnl-3.so.200' => 1 + }, + 'Sources' => {}, + 'SymbolInfo' => { + '113229' => { + 'Header' => undef, + 'Line' => '4125', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '1888' + }, + '2' => { + 'name' => 'count', + 'type' => '46' + }, + '3' => { + 'name' => 'offset', + 'type' => '79778' + }, + '4' => { + 'name' => 'flags', + 'type' => '161' + } + }, + 'Return' => '46', + 'ShortName' => 'riowrite' + }, + '115008' => { + 'Header' => undef, + 'Line' => '4078', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '82' + }, + '2' => { + 'name' => 'len', + 'type' => '46' + } + }, + 'Return' => '161', + 'ShortName' => 'riounmap' + }, + '115542' => { + 'Header' => undef, + 'Line' => '4029', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '82' + }, + '2' => { + 'name' => 'len', + 'type' => '46' + }, + '3' => { + 'name' => 'prot', + 'type' => '161' + }, + '4' => { + 'name' => 'flags', + 'type' => '161' + }, + '5' => { + 'name' => 'offset', + 'type' => '79778' + } + }, + 'Return' => '79778', + 'ShortName' => 'riomap' + }, + '116786' => { + 'Header' => undef, + 'Line' => '3972', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'cmd', + 'type' => '161' + }, + '2' => { + 'type' => '-1' + } + }, + 'Return' => '161', + 'ShortName' => 'rfcntl' + }, + '117249' => { + 'Header' => undef, + 'Line' => '3827', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'level', + 'type' => '161' + }, + '2' => { + 'name' => 'optname', + 'type' => '161' + }, + '3' => { + 'name' => 'optval', + 'type' => '82' + }, + '4' => { + 'name' => 'optlen', + 'type' => '14413' + } + }, + 'Return' => '161', + 'ShortName' => 'rgetsockopt' + }, + '118246' => { + 'Header' => undef, + 'Line' => '3646', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'level', + 'type' => '161' + }, + '2' => { + 'name' => 'optname', + 'type' => '161' + }, + '3' => { + 'name' => 'optval', + 'type' => '1888' + }, + '4' => { + 'name' => 'optlen', + 'type' => '1110' + } + }, + 'Return' => '161', + 'ShortName' => 'rsetsockopt' + }, + '119932' => { + 'Header' => undef, + 'Line' => '3604', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'addr', + 'type' => '1883' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '14413' + } + }, + 'Return' => '161', + 'ShortName' => 'rgetsockname' + }, + '120577' => { + 'Header' => undef, + 'Line' => '3589', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'addr', + 'type' => '1883' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '14413' + } + }, + 'Return' => '161', + 'ShortName' => 'rgetpeername' + }, + '120824' => { + 'Header' => undef, + 'Line' => '3551', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'rclose' + }, + '121411' => { + 'Header' => undef, + 'Line' => '3480', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'how', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'rshutdown' + }, + '122141' => { + 'Header' => undef, + 'Line' => '3449', + 'Param' => { + '0' => { + 'name' => 'nfds', + 'type' => '161' + }, + '1' => { + 'name' => 'readfds', + 'type' => '123098' + }, + '2' => { + 'name' => 'writefds', + 'type' => '123098' + }, + '3' => { + 'name' => 'exceptfds', + 'type' => '123098' + }, + '4' => { + 'name' => 'timeout', + 'type' => '123103' + } + }, + 'Return' => '161', + 'ShortName' => 'rselect' + }, + '12234' => { + 'Header' => undef, + 'Line' => '748', + 'Param' => { + '0' => { + 'name' => 'node', + 'type' => '1356' + }, + '1' => { + 'name' => 'service', + 'type' => '1356' + }, + '2' => { + 'name' => 'hints', + 'type' => '7867' + }, + '3' => { + 'name' => 'res', + 'type' => '7862' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_getaddrinfo' + }, + '123461' => { + 'Header' => undef, + 'Line' => '3334', + 'Param' => { + '0' => { + 'name' => 'fds', + 'type' => '99821' + }, + '1' => { + 'name' => 'nfds', + 'type' => '98560' + }, + '2' => { + 'name' => 'timeout', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'rpoll' + }, + '127389' => { + 'Header' => undef, + 'Line' => '3031', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'iov', + 'type' => '127524' + }, + '2' => { + 'name' => 'iovcnt', + 'type' => '161' + } + }, + 'Return' => '767', + 'ShortName' => 'rwritev' + }, + '127529' => { + 'Header' => undef, + 'Line' => '3026', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '1888' + }, + '2' => { + 'name' => 'count', + 'type' => '46' + } + }, + 'Return' => '767', + 'ShortName' => 'rwrite' + }, + '127664' => { + 'Header' => undef, + 'Line' => '3018', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'msg', + 'type' => '102991' + }, + '2' => { + 'name' => 'flags', + 'type' => '161' + } + }, + 'Return' => '767', + 'ShortName' => 'rsendmsg' + }, + '129269' => { + 'Header' => undef, + 'Line' => '2877', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '1888' + }, + '2' => { + 'name' => 'len', + 'type' => '46' + }, + '3' => { + 'name' => 'flags', + 'type' => '161' + }, + '4' => { + 'name' => 'dest_addr', + 'type' => '4573' + }, + '5' => { + 'name' => 'addrlen', + 'type' => '1110' + } + }, + 'Return' => '767', + 'ShortName' => 'rsendto' + }, + '130084' => { + 'Header' => undef, + 'Line' => '2788', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '1888' + }, + '2' => { + 'name' => 'len', + 'type' => '46' + }, + '3' => { + 'name' => 'flags', + 'type' => '161' + } + }, + 'Return' => '767', + 'ShortName' => 'rsend' + }, + '134357' => { + 'Header' => undef, + 'Line' => '2630', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'iov', + 'type' => '127524' + }, + '2' => { + 'name' => 'iovcnt', + 'type' => '161' + } + }, + 'Return' => '767', + 'ShortName' => 'rreadv' + }, + '134559' => { + 'Header' => undef, + 'Line' => '2625', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '82' + }, + '2' => { + 'name' => 'count', + 'type' => '46' + } + }, + 'Return' => '767', + 'ShortName' => 'rread' + }, + '134694' => { + 'Header' => undef, + 'Line' => '2617', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'msg', + 'type' => '134952' + }, + '2' => { + 'name' => 'flags', + 'type' => '161' + } + }, + 'Return' => '767', + 'ShortName' => 'rrecvmsg' + }, + '135028' => { + 'Header' => undef, + 'Line' => '2585', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '82' + }, + '2' => { + 'name' => 'len', + 'type' => '46' + }, + '3' => { + 'name' => 'flags', + 'type' => '161' + }, + '4' => { + 'name' => 'src_addr', + 'type' => '1883' + }, + '5' => { + 'name' => 'addrlen', + 'type' => '14413' + } + }, + 'Return' => '767', + 'ShortName' => 'rrecvfrom' + }, + '135608' => { + 'Header' => undef, + 'Line' => '2514', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'buf', + 'type' => '82' + }, + '2' => { + 'name' => 'len', + 'type' => '46' + }, + '3' => { + 'name' => 'flags', + 'type' => '161' + } + }, + 'Return' => '767', + 'ShortName' => 'rrecv' + }, + '144891' => { + 'Header' => undef, + 'Line' => '1713', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'addr', + 'type' => '4573' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '1110' + } + }, + 'Return' => '161', + 'ShortName' => 'rconnect' + }, + '150744' => { + 'Header' => undef, + 'Line' => '1348', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'addr', + 'type' => '1883' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '14413' + } + }, + 'Return' => '161', + 'ShortName' => 'raccept' + }, + '151399' => { + 'Header' => undef, + 'Line' => '1257', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'backlog', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'rlisten' + }, + '151855' => { + 'Header' => undef, + 'Line' => '1234', + 'Param' => { + '0' => { + 'name' => 'socket', + 'type' => '161' + }, + '1' => { + 'name' => 'addr', + 'type' => '4573' + }, + '2' => { + 'name' => 'addrlen', + 'type' => '1110' + } + }, + 'Return' => '161', + 'ShortName' => 'rbind' + }, + '152251' => { + 'Header' => undef, + 'Line' => '1192', + 'Param' => { + '0' => { + 'name' => 'domain', + 'type' => '161' + }, + '1' => { + 'name' => 'type', + 'type' => '161' + }, + '2' => { + 'name' => 'protocol', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'rsocket' + }, + '40728' => { + 'Header' => undef, + 'Line' => '2910', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'ece', + 'type' => '33827' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_get_remote_ece' + }, + '40872' => { + 'Header' => undef, + 'Line' => '2896', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'ece', + 'type' => '33827' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_set_local_ece' + }, + '41016' => { + 'Header' => undef, + 'Line' => '2891', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + } + }, + 'Return' => '2055', + 'ShortName' => 'rdma_get_dst_port' + }, + '41094' => { + 'Header' => undef, + 'Line' => '2886', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + } + }, + 'Return' => '2055', + 'ShortName' => 'rdma_get_src_port' + }, + '4148' => { + 'Header' => undef, + 'Line' => '752', + 'Param' => { + '0' => { + 'name' => 'res', + 'type' => '3170' + } + }, + 'Return' => '1', + 'ShortName' => 'rdma_freeaddrinfo' + }, + '41885' => { + 'Header' => undef, + 'Line' => '2834', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + } + }, + 'Return' => '1', + 'ShortName' => 'rdma_destroy_ep' + }, + '42036' => { + 'Header' => undef, + 'Line' => '2770', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '42995' + }, + '1' => { + 'name' => 'res', + 'type' => '3170' + }, + '2' => { + 'name' => 'pd', + 'type' => '22954' + }, + '3' => { + 'name' => 'qp_init_attr', + 'type' => '33812' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_create_ep' + }, + '43095' => { + 'Header' => undef, + 'Line' => '2686', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'channel', + 'type' => '32396' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_migrate_id' + }, + '43717' => { + 'Header' => undef, + 'Line' => '735', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'level', + 'type' => '161' + }, + '2' => { + 'name' => 'optname', + 'type' => '161' + }, + '3' => { + 'name' => 'optval', + 'type' => '82' + }, + '4' => { + 'name' => 'optlen', + 'type' => '46' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_set_option' + }, + '44045' => { + 'Header' => undef, + 'Line' => '2624', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '31706' + } + }, + 'Return' => '1356', + 'ShortName' => 'rdma_event_str' + }, + '44094' => { + 'Header' => undef, + 'Line' => '2479', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '32396' + }, + '1' => { + 'name' => 'event', + 'type' => '47815' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_get_cm_event' + }, + '47825' => { + 'Header' => undef, + 'Line' => '2467', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_establish' + }, + '48867' => { + 'Header' => undef, + 'Line' => '2230', + 'Param' => { + '0' => { + 'name' => 'event', + 'type' => '32479' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_ack_cm_event' + }, + '48968' => { + 'Header' => undef, + 'Line' => '2162', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'addr', + 'type' => '1883' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_leave_multicast' + }, + '49812' => { + 'Header' => undef, + 'Line' => '2149', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'addr', + 'type' => '1883' + }, + '2' => { + 'name' => 'context', + 'type' => '82' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_join_multicast' + }, + '50055' => { + 'Header' => undef, + 'Line' => '2125', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'mc_join_attr', + 'type' => '50340' + }, + '2' => { + 'name' => 'context', + 'type' => '82' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_join_multicast_ex' + }, + '51569' => { + 'Header' => undef, + 'Line' => '2030', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_disconnect' + }, + '52309' => { + 'Header' => undef, + 'Line' => '1997', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'event', + 'type' => '21105' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_notify' + }, + '52576' => { + 'Header' => undef, + 'Line' => '1990', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'private_data', + 'type' => '1888' + }, + '2' => { + 'name' => 'private_data_len', + 'type' => '789' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_reject_ece' + }, + '52714' => { + 'Header' => undef, + 'Line' => '524', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'private_data', + 'type' => '1888' + }, + '2' => { + 'name' => 'private_data_len', + 'type' => '789' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_reject' + }, + '53276' => { + 'Header' => undef, + 'Line' => '506', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'conn_param', + 'type' => '48077' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_accept' + }, + '54183' => { + 'Header' => undef, + 'Line' => '485', + 'Param' => { + '0' => { + 'name' => 'listen', + 'type' => '32752' + }, + '1' => { + 'name' => 'id', + 'type' => '42995' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_get_request' + }, + '54664' => { + 'Header' => undef, + 'Line' => '480', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'backlog', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_listen' + }, + '54961' => { + 'Header' => undef, + 'Line' => '442', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'conn_param', + 'type' => '48077' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_connect' + }, + '55931' => { + 'Header' => undef, + 'Line' => '424', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + } + }, + 'Return' => '1', + 'ShortName' => 'rdma_destroy_qp' + }, + '56014' => { + 'Header' => undef, + 'Line' => '408', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'pd', + 'type' => '22954' + }, + '2' => { + 'name' => 'qp_init_attr', + 'type' => '33812' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_create_qp' + }, + '56326' => { + 'Header' => undef, + 'Line' => '1622', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'attr', + 'type' => '31064' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_create_qp_ex' + }, + '57505' => { + 'Header' => undef, + 'Line' => '1560', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + } + }, + 'Return' => '1', + 'ShortName' => 'rdma_destroy_srq' + }, + '57588' => { + 'Header' => undef, + 'Line' => '1541', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'pd', + 'type' => '22954' + }, + '2' => { + 'name' => 'attr', + 'type' => '33817' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_create_srq' + }, + '57896' => { + 'Header' => undef, + 'Line' => '1496', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'attr', + 'type' => '31124' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_create_srq_ex' + }, + '60621' => { + 'Header' => undef, + 'Line' => '1243', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'qp_attr', + 'type' => '33822' + }, + '2' => { + 'name' => 'qp_attr_mask', + 'type' => '4143' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_init_qp_attr' + }, + '60999' => { + 'Header' => undef, + 'Line' => '385', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'timeout_ms', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_resolve_route' + }, + '61699' => { + 'Header' => undef, + 'Line' => '368', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'src_addr', + 'type' => '1883' + }, + '2' => { + 'name' => 'dst_addr', + 'type' => '1883' + }, + '3' => { + 'name' => 'timeout_ms', + 'type' => '161' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_resolve_addr' + }, + '63547' => { + 'Header' => undef, + 'Line' => '343', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + }, + '1' => { + 'name' => 'addr', + 'type' => '1883' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_bind_addr' + }, + '66867' => { + 'Header' => undef, + 'Line' => '325', + 'Param' => { + '0' => { + 'name' => 'id', + 'type' => '32752' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_destroy_id' + }, + '67447' => { + 'Header' => undef, + 'Line' => '272', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '32396' + }, + '1' => { + 'name' => 'id', + 'type' => '42995' + }, + '2' => { + 'name' => 'context', + 'type' => '82' + }, + '3' => { + 'name' => 'ps', + 'type' => '2913' + } + }, + 'Return' => '161', + 'ShortName' => 'rdma_create_id' + }, + '70246' => { + 'Header' => undef, + 'Line' => '588', + 'Param' => { + '0' => { + 'name' => 'channel', + 'type' => '32396' + } + }, + 'Return' => '1', + 'ShortName' => 'rdma_destroy_event_channel' + }, + '70330' => { + 'Header' => undef, + 'Line' => '567', + 'Return' => '32396', + 'ShortName' => 'rdma_create_event_channel' + }, + '70487' => { + 'Header' => undef, + 'Line' => '543', + 'Param' => { + '0' => { + 'name' => 'list', + 'type' => '70961' + } + }, + 'Return' => '1', + 'ShortName' => 'rdma_free_devices' + }, + '70966' => { + 'Header' => undef, + 'Line' => '497', + 'Param' => { + '0' => { + 'name' => 'num_devices', + 'type' => '4143' + } + }, + 'Return' => '70961', + 'ShortName' => 'rdma_get_devices' + } + }, + 'SymbolVersion' => { + 'raccept' => 'raccept@@RDMACM_1.0', + 'rbind' => 'rbind@@RDMACM_1.0', + 'rclose' => 'rclose@@RDMACM_1.0', + 'rconnect' => 'rconnect@@RDMACM_1.0', + 'rdma_accept' => 'rdma_accept@@RDMACM_1.0', + 'rdma_ack_cm_event' => 'rdma_ack_cm_event@@RDMACM_1.0', + 'rdma_bind_addr' => 'rdma_bind_addr@@RDMACM_1.0', + 'rdma_connect' => 'rdma_connect@@RDMACM_1.0', + 'rdma_create_ep' => 'rdma_create_ep@@RDMACM_1.0', + 'rdma_create_event_channel' => 'rdma_create_event_channel@@RDMACM_1.0', + 'rdma_create_id' => 'rdma_create_id@@RDMACM_1.0', + 'rdma_create_qp' => 'rdma_create_qp@@RDMACM_1.0', + 'rdma_create_qp_ex' => 'rdma_create_qp_ex@@RDMACM_1.0', + 'rdma_create_srq' => 'rdma_create_srq@@RDMACM_1.0', + 'rdma_create_srq_ex' => 'rdma_create_srq_ex@@RDMACM_1.0', + 'rdma_destroy_ep' => 'rdma_destroy_ep@@RDMACM_1.0', + 'rdma_destroy_event_channel' => 'rdma_destroy_event_channel@@RDMACM_1.0', + 'rdma_destroy_id' => 'rdma_destroy_id@@RDMACM_1.0', + 'rdma_destroy_qp' => 'rdma_destroy_qp@@RDMACM_1.0', + 'rdma_destroy_srq' => 'rdma_destroy_srq@@RDMACM_1.0', + 'rdma_disconnect' => 'rdma_disconnect@@RDMACM_1.0', + 'rdma_establish' => 'rdma_establish@@RDMACM_1.2', + 'rdma_event_str' => 'rdma_event_str@@RDMACM_1.0', + 'rdma_free_devices' => 'rdma_free_devices@@RDMACM_1.0', + 'rdma_freeaddrinfo' => 'rdma_freeaddrinfo@@RDMACM_1.0', + 'rdma_get_cm_event' => 'rdma_get_cm_event@@RDMACM_1.0', + 'rdma_get_devices' => 'rdma_get_devices@@RDMACM_1.0', + 'rdma_get_dst_port' => 'rdma_get_dst_port@@RDMACM_1.0', + 'rdma_get_remote_ece' => 'rdma_get_remote_ece@@RDMACM_1.3', + 'rdma_get_request' => 'rdma_get_request@@RDMACM_1.0', + 'rdma_get_src_port' => 'rdma_get_src_port@@RDMACM_1.0', + 'rdma_getaddrinfo' => 'rdma_getaddrinfo@@RDMACM_1.0', + 'rdma_init_qp_attr' => 'rdma_init_qp_attr@@RDMACM_1.2', + 'rdma_join_multicast' => 'rdma_join_multicast@@RDMACM_1.0', + 'rdma_join_multicast_ex' => 'rdma_join_multicast_ex@@RDMACM_1.1', + 'rdma_leave_multicast' => 'rdma_leave_multicast@@RDMACM_1.0', + 'rdma_listen' => 'rdma_listen@@RDMACM_1.0', + 'rdma_migrate_id' => 'rdma_migrate_id@@RDMACM_1.0', + 'rdma_notify' => 'rdma_notify@@RDMACM_1.0', + 'rdma_reject' => 'rdma_reject@@RDMACM_1.0', + 'rdma_reject_ece' => 'rdma_reject_ece@@RDMACM_1.3', + 'rdma_resolve_addr' => 'rdma_resolve_addr@@RDMACM_1.0', + 'rdma_resolve_route' => 'rdma_resolve_route@@RDMACM_1.0', + 'rdma_set_local_ece' => 'rdma_set_local_ece@@RDMACM_1.3', + 'rdma_set_option' => 'rdma_set_option@@RDMACM_1.0', + 'rfcntl' => 'rfcntl@@RDMACM_1.0', + 'rgetpeername' => 'rgetpeername@@RDMACM_1.0', + 'rgetsockname' => 'rgetsockname@@RDMACM_1.0', + 'rgetsockopt' => 'rgetsockopt@@RDMACM_1.0', + 'riomap' => 'riomap@@RDMACM_1.0', + 'riounmap' => 'riounmap@@RDMACM_1.0', + 'riowrite' => 'riowrite@@RDMACM_1.0', + 'rlisten' => 'rlisten@@RDMACM_1.0', + 'rpoll' => 'rpoll@@RDMACM_1.0', + 'rread' => 'rread@@RDMACM_1.0', + 'rreadv' => 'rreadv@@RDMACM_1.0', + 'rrecv' => 'rrecv@@RDMACM_1.0', + 'rrecvfrom' => 'rrecvfrom@@RDMACM_1.0', + 'rrecvmsg' => 'rrecvmsg@@RDMACM_1.0', + 'rselect' => 'rselect@@RDMACM_1.0', + 'rsend' => 'rsend@@RDMACM_1.0', + 'rsendmsg' => 'rsendmsg@@RDMACM_1.0', + 'rsendto' => 'rsendto@@RDMACM_1.0', + 'rsetsockopt' => 'rsetsockopt@@RDMACM_1.0', + 'rshutdown' => 'rshutdown@@RDMACM_1.0', + 'rsocket' => 'rsocket@@RDMACM_1.0', + 'rwrite' => 'rwrite@@RDMACM_1.0', + 'rwritev' => 'rwritev@@RDMACM_1.0' + }, + 'Symbols' => { + 'librdmacm.so.1.3.49.0' => { + 'raccept@@RDMACM_1.0' => 1, + 'rbind@@RDMACM_1.0' => 1, + 'rclose@@RDMACM_1.0' => 1, + 'rconnect@@RDMACM_1.0' => 1, + 'rdma_accept@@RDMACM_1.0' => 1, + 'rdma_ack_cm_event@@RDMACM_1.0' => 1, + 'rdma_bind_addr@@RDMACM_1.0' => 1, + 'rdma_connect@@RDMACM_1.0' => 1, + 'rdma_create_ep@@RDMACM_1.0' => 1, + 'rdma_create_event_channel@@RDMACM_1.0' => 1, + 'rdma_create_id@@RDMACM_1.0' => 1, + 'rdma_create_qp@@RDMACM_1.0' => 1, + 'rdma_create_qp_ex@@RDMACM_1.0' => 1, + 'rdma_create_srq@@RDMACM_1.0' => 1, + 'rdma_create_srq_ex@@RDMACM_1.0' => 1, + 'rdma_destroy_ep@@RDMACM_1.0' => 1, + 'rdma_destroy_event_channel@@RDMACM_1.0' => 1, + 'rdma_destroy_id@@RDMACM_1.0' => 1, + 'rdma_destroy_qp@@RDMACM_1.0' => 1, + 'rdma_destroy_srq@@RDMACM_1.0' => 1, + 'rdma_disconnect@@RDMACM_1.0' => 1, + 'rdma_establish@@RDMACM_1.2' => 1, + 'rdma_event_str@@RDMACM_1.0' => 1, + 'rdma_free_devices@@RDMACM_1.0' => 1, + 'rdma_freeaddrinfo@@RDMACM_1.0' => 1, + 'rdma_get_cm_event@@RDMACM_1.0' => 1, + 'rdma_get_devices@@RDMACM_1.0' => 1, + 'rdma_get_dst_port@@RDMACM_1.0' => 1, + 'rdma_get_remote_ece@@RDMACM_1.3' => 1, + 'rdma_get_request@@RDMACM_1.0' => 1, + 'rdma_get_src_port@@RDMACM_1.0' => 1, + 'rdma_getaddrinfo@@RDMACM_1.0' => 1, + 'rdma_init_qp_attr@@RDMACM_1.2' => 1, + 'rdma_join_multicast@@RDMACM_1.0' => 1, + 'rdma_join_multicast_ex@@RDMACM_1.1' => 1, + 'rdma_leave_multicast@@RDMACM_1.0' => 1, + 'rdma_listen@@RDMACM_1.0' => 1, + 'rdma_migrate_id@@RDMACM_1.0' => 1, + 'rdma_notify@@RDMACM_1.0' => 1, + 'rdma_reject@@RDMACM_1.0' => 1, + 'rdma_reject_ece@@RDMACM_1.3' => 1, + 'rdma_resolve_addr@@RDMACM_1.0' => 1, + 'rdma_resolve_route@@RDMACM_1.0' => 1, + 'rdma_set_local_ece@@RDMACM_1.3' => 1, + 'rdma_set_option@@RDMACM_1.0' => 1, + 'rfcntl@@RDMACM_1.0' => 1, + 'rgetpeername@@RDMACM_1.0' => 1, + 'rgetsockname@@RDMACM_1.0' => 1, + 'rgetsockopt@@RDMACM_1.0' => 1, + 'riomap@@RDMACM_1.0' => 1, + 'riounmap@@RDMACM_1.0' => 1, + 'riowrite@@RDMACM_1.0' => 1, + 'rlisten@@RDMACM_1.0' => 1, + 'rpoll@@RDMACM_1.0' => 1, + 'rread@@RDMACM_1.0' => 1, + 'rreadv@@RDMACM_1.0' => 1, + 'rrecv@@RDMACM_1.0' => 1, + 'rrecvfrom@@RDMACM_1.0' => 1, + 'rrecvmsg@@RDMACM_1.0' => 1, + 'rselect@@RDMACM_1.0' => 1, + 'rsend@@RDMACM_1.0' => 1, + 'rsendmsg@@RDMACM_1.0' => 1, + 'rsendto@@RDMACM_1.0' => 1, + 'rsetsockopt@@RDMACM_1.0' => 1, + 'rshutdown@@RDMACM_1.0' => 1, + 'rsocket@@RDMACM_1.0' => 1, + 'rwrite@@RDMACM_1.0' => 1, + 'rwritev@@RDMACM_1.0' => 1 + } + }, + 'Target' => 'unix', + 'TypeInfo' => { + '-1' => { + 'Name' => '...', + 'Type' => 'Intrinsic' + }, + '1' => { + 'Name' => 'void', + 'Type' => 'Intrinsic' + }, + '101' => { + 'Name' => 'unsigned short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '102991' => { + 'BaseType' => '81172', + 'Name' => 'struct msghdr const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1110' => { + 'BaseType' => '272', + 'Header' => undef, + 'Line' => '33', + 'Name' => 'socklen_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '11209' => { + 'Header' => undef, + 'Line' => '900', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPT_RC', + 'value' => '2' + }, + '1' => { + 'name' => 'IBV_QPT_UC', + 'value' => '3' + }, + '2' => { + 'name' => 'IBV_QPT_UD', + 'value' => '4' + }, + '3' => { + 'name' => 'IBV_QPT_RAW_PACKET', + 'value' => '8' + }, + '4' => { + 'name' => 'IBV_QPT_XRC_SEND', + 'value' => '9' + }, + '5' => { + 'name' => 'IBV_QPT_XRC_RECV', + 'value' => '10' + }, + '6' => { + 'name' => 'IBV_QPT_DRIVER', + 'value' => '255' + } + }, + 'Name' => 'enum ibv_qp_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1196' => { + 'BaseType' => '101', + 'Header' => undef, + 'Line' => '28', + 'Name' => 'sa_family_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '1208' => { + 'Header' => undef, + 'Line' => '180', + 'Memb' => { + '0' => { + 'name' => 'sa_family', + 'offset' => '0', + 'type' => '1196' + }, + '1' => { + 'name' => 'sa_data', + 'offset' => '2', + 'type' => '1253' + } + }, + 'Name' => 'struct sockaddr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '123103' => { + 'BaseType' => '79826', + 'Name' => 'struct timeval*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1248' => { + 'BaseType' => '1208', + 'Name' => 'struct sockaddr const', + 'Size' => '16', + 'Type' => 'Const' + }, + '125' => { + 'BaseType' => '89', + 'Header' => undef, + 'Line' => '38', + 'Name' => '__uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '1253' => { + 'BaseType' => '255', + 'Name' => 'char[14]', + 'Size' => '14', + 'Type' => 'Array' + }, + '127524' => { + 'BaseType' => '80658', + 'Name' => 'struct iovec const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '134952' => { + 'BaseType' => '81060', + 'Name' => 'struct msghdr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1356' => { + 'BaseType' => '262', + 'Name' => 'char const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '1366' => { + 'BaseType' => '813', + 'Header' => undef, + 'Line' => '30', + 'Name' => 'in_addr_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '137' => { + 'Name' => 'short', + 'Size' => '2', + 'Type' => 'Intrinsic' + }, + '1378' => { + 'Header' => undef, + 'Line' => '31', + 'Memb' => { + '0' => { + 'name' => 's_addr', + 'offset' => '0', + 'type' => '1366' + } + }, + 'Name' => 'struct in_addr', + 'Size' => '4', + 'Type' => 'Struct' + }, + '14413' => { + 'BaseType' => '1110', + 'Name' => 'socklen_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '149' => { + 'BaseType' => '101', + 'Header' => undef, + 'Line' => '40', + 'Name' => '__uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '15670' => { + 'BaseType' => '185', + 'Header' => undef, + 'Line' => '160', + 'Name' => '__time_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '1588' => { + 'BaseType' => '801', + 'Header' => undef, + 'Line' => '123', + 'Name' => 'in_port_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '1600' => { + 'Header' => undef, + 'Line' => '221', + 'Memb' => { + '0' => { + 'name' => '__u6_addr8', + 'offset' => '0', + 'type' => '1646' + }, + '1' => { + 'name' => '__u6_addr16', + 'offset' => '0', + 'type' => '1662' + }, + '2' => { + 'name' => '__u6_addr32', + 'offset' => '0', + 'type' => '1678' + } + }, + 'Size' => '16', + 'Type' => 'Union' + }, + '161' => { + 'Name' => 'int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '1646' => { + 'BaseType' => '789', + 'Name' => 'uint8_t[16]', + 'Size' => '16', + 'Type' => 'Array' + }, + '1662' => { + 'BaseType' => '801', + 'Name' => 'uint16_t[8]', + 'Size' => '16', + 'Type' => 'Array' + }, + '1678' => { + 'BaseType' => '813', + 'Name' => 'uint32_t[4]', + 'Size' => '16', + 'Type' => 'Array' + }, + '16782' => { + 'Header' => undef, + 'Line' => '193', + 'Memb' => { + '0' => { + 'name' => 'ss_family', + 'offset' => '0', + 'type' => '1196' + }, + '1' => { + 'name' => '__ss_padding', + 'offset' => '2', + 'type' => '16835' + }, + '2' => { + 'name' => '__ss_align', + 'offset' => '288', + 'type' => '58' + } + }, + 'Name' => 'struct sockaddr_storage', + 'Size' => '128', + 'Type' => 'Struct' + }, + '16835' => { + 'BaseType' => '255', + 'Name' => 'char[118]', + 'Size' => '118', + 'Type' => 'Array' + }, + '1694' => { + 'Header' => undef, + 'Line' => '219', + 'Memb' => { + '0' => { + 'name' => '__in6_u', + 'offset' => '0', + 'type' => '1600' + } + }, + 'Name' => 'struct in6_addr', + 'Size' => '16', + 'Type' => 'Struct' + }, + '1721' => { + 'Header' => undef, + 'Line' => '245', + 'Memb' => { + '0' => { + 'name' => 'sin_family', + 'offset' => '0', + 'type' => '1196' + }, + '1' => { + 'name' => 'sin_port', + 'offset' => '2', + 'type' => '1588' + }, + '2' => { + 'name' => 'sin_addr', + 'offset' => '4', + 'type' => '1378' + }, + '3' => { + 'name' => 'sin_zero', + 'offset' => '8', + 'type' => '1787' + } + }, + 'Name' => 'struct sockaddr_in', + 'Size' => '16', + 'Type' => 'Struct' + }, + '173' => { + 'BaseType' => '70', + 'Header' => undef, + 'Line' => '42', + 'Name' => '__uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '1787' => { + 'BaseType' => '89', + 'Name' => 'unsigned char[8]', + 'Size' => '8', + 'Type' => 'Array' + }, + '1803' => { + 'Header' => undef, + 'Line' => '260', + 'Memb' => { + '0' => { + 'name' => 'sin6_family', + 'offset' => '0', + 'type' => '1196' + }, + '1' => { + 'name' => 'sin6_port', + 'offset' => '2', + 'type' => '1588' + }, + '2' => { + 'name' => 'sin6_flowinfo', + 'offset' => '4', + 'type' => '813' + }, + '3' => { + 'name' => 'sin6_addr', + 'offset' => '8', + 'type' => '1694' + }, + '4' => { + 'name' => 'sin6_scope_id', + 'offset' => '36', + 'type' => '813' + } + }, + 'Name' => 'struct sockaddr_in6', + 'Size' => '28', + 'Type' => 'Struct' + }, + '185' => { + 'Name' => 'long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '1883' => { + 'BaseType' => '1208', + 'Name' => 'struct sockaddr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18857' => { + 'Header' => undef, + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'IBV_NODE_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_NODE_CA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_NODE_SWITCH', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_NODE_ROUTER', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_NODE_RNIC', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_NODE_USNIC', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_NODE_USNIC_UDP', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_NODE_UNSPECIFIED', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_node_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1888' => { + 'BaseType' => '1898', + 'Name' => 'void const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '18921' => { + 'Header' => undef, + 'Line' => '105', + 'Memb' => { + '0' => { + 'name' => 'IBV_TRANSPORT_UNKNOWN', + 'value' => '18446744073709551615 (-1)' + }, + '1' => { + 'name' => 'IBV_TRANSPORT_IB', + 'value' => '0' + }, + '2' => { + 'name' => 'IBV_TRANSPORT_IWARP', + 'value' => '1' + }, + '3' => { + 'name' => 'IBV_TRANSPORT_USNIC', + 'value' => '2' + }, + '4' => { + 'name' => 'IBV_TRANSPORT_USNIC_UDP', + 'value' => '3' + }, + '5' => { + 'name' => 'IBV_TRANSPORT_UNSPECIFIED', + 'value' => '4' + } + }, + 'Name' => 'enum ibv_transport_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '18973' => { + 'Header' => undef, + 'Line' => '154', + 'Memb' => { + '0' => { + 'name' => 'IBV_ATOMIC_NONE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_ATOMIC_HCA', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_ATOMIC_GLOB', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_atomic_cap', + 'Size' => '4', + 'Type' => 'Enum' + }, + '1898' => { + 'BaseType' => '1', + 'Name' => 'void const', + 'Type' => 'Const' + }, + '19140' => { + 'Header' => undef, + 'Line' => '2035', + 'Memb' => { + '0' => { + 'name' => 'device', + 'offset' => '0', + 'type' => '28128' + }, + '1' => { + 'name' => 'ops', + 'offset' => '8', + 'type' => '28310' + }, + '2' => { + 'name' => 'cmd_fd', + 'offset' => '612', + 'type' => '161' + }, + '3' => { + 'name' => 'async_fd', + 'offset' => '616', + 'type' => '161' + }, + '4' => { + 'name' => 'num_comp_vectors', + 'offset' => '626', + 'type' => '161' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '640', + 'type' => '16386' + }, + '6' => { + 'name' => 'abi_compat', + 'offset' => '800', + 'type' => '82' + } + }, + 'Name' => 'struct ibv_context', + 'Size' => '328', + 'Type' => 'Struct' + }, + '19258' => { + 'BaseType' => '19140', + 'Name' => 'struct ibv_context*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '19338' => { + 'Header' => undef, + 'Line' => '181', + 'Memb' => { + '0' => { + 'name' => 'fw_ver', + 'offset' => '0', + 'type' => '19872' + }, + '1' => { + 'name' => 'node_guid', + 'offset' => '100', + 'type' => '2079' + }, + '10' => { + 'name' => 'device_cap_flags', + 'offset' => '278', + 'type' => '70' + }, + '11' => { + 'name' => 'max_sge', + 'offset' => '288', + 'type' => '161' + }, + '12' => { + 'name' => 'max_sge_rd', + 'offset' => '292', + 'type' => '161' + }, + '13' => { + 'name' => 'max_cq', + 'offset' => '296', + 'type' => '161' + }, + '14' => { + 'name' => 'max_cqe', + 'offset' => '306', + 'type' => '161' + }, + '15' => { + 'name' => 'max_mr', + 'offset' => '310', + 'type' => '161' + }, + '16' => { + 'name' => 'max_pd', + 'offset' => '320', + 'type' => '161' + }, + '17' => { + 'name' => 'max_qp_rd_atom', + 'offset' => '324', + 'type' => '161' + }, + '18' => { + 'name' => 'max_ee_rd_atom', + 'offset' => '328', + 'type' => '161' + }, + '19' => { + 'name' => 'max_res_rd_atom', + 'offset' => '338', + 'type' => '161' + }, + '2' => { + 'name' => 'sys_image_guid', + 'offset' => '114', + 'type' => '2079' + }, + '20' => { + 'name' => 'max_qp_init_rd_atom', + 'offset' => '342', + 'type' => '161' + }, + '21' => { + 'name' => 'max_ee_init_rd_atom', + 'offset' => '352', + 'type' => '161' + }, + '22' => { + 'name' => 'atomic_cap', + 'offset' => '356', + 'type' => '18973' + }, + '23' => { + 'name' => 'max_ee', + 'offset' => '360', + 'type' => '161' + }, + '24' => { + 'name' => 'max_rdd', + 'offset' => '370', + 'type' => '161' + }, + '25' => { + 'name' => 'max_mw', + 'offset' => '374', + 'type' => '161' + }, + '26' => { + 'name' => 'max_raw_ipv6_qp', + 'offset' => '384', + 'type' => '161' + }, + '27' => { + 'name' => 'max_raw_ethy_qp', + 'offset' => '388', + 'type' => '161' + }, + '28' => { + 'name' => 'max_mcast_grp', + 'offset' => '392', + 'type' => '161' + }, + '29' => { + 'name' => 'max_mcast_qp_attach', + 'offset' => '402', + 'type' => '161' + }, + '3' => { + 'name' => 'max_mr_size', + 'offset' => '128', + 'type' => '825' + }, + '30' => { + 'name' => 'max_total_mcast_qp_attach', + 'offset' => '406', + 'type' => '161' + }, + '31' => { + 'name' => 'max_ah', + 'offset' => '512', + 'type' => '161' + }, + '32' => { + 'name' => 'max_fmr', + 'offset' => '516', + 'type' => '161' + }, + '33' => { + 'name' => 'max_map_per_fmr', + 'offset' => '520', + 'type' => '161' + }, + '34' => { + 'name' => 'max_srq', + 'offset' => '530', + 'type' => '161' + }, + '35' => { + 'name' => 'max_srq_wr', + 'offset' => '534', + 'type' => '161' + }, + '36' => { + 'name' => 'max_srq_sge', + 'offset' => '544', + 'type' => '161' + }, + '37' => { + 'name' => 'max_pkeys', + 'offset' => '548', + 'type' => '801' + }, + '38' => { + 'name' => 'local_ca_ack_delay', + 'offset' => '550', + 'type' => '789' + }, + '39' => { + 'name' => 'phys_port_cnt', + 'offset' => '551', + 'type' => '789' + }, + '4' => { + 'name' => 'page_size_cap', + 'offset' => '136', + 'type' => '825' + }, + '5' => { + 'name' => 'vendor_id', + 'offset' => '150', + 'type' => '813' + }, + '6' => { + 'name' => 'vendor_part_id', + 'offset' => '256', + 'type' => '813' + }, + '7' => { + 'name' => 'hw_ver', + 'offset' => '260', + 'type' => '813' + }, + '8' => { + 'name' => 'max_qp', + 'offset' => '264', + 'type' => '161' + }, + '9' => { + 'name' => 'max_qp_wr', + 'offset' => '274', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_device_attr', + 'Size' => '232', + 'Type' => 'Struct' + }, + '197' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '45', + 'Name' => '__uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '19872' => { + 'BaseType' => '255', + 'Name' => 'char[64]', + 'Size' => '64', + 'Type' => 'Array' + }, + '2019' => { + 'BaseType' => '101', + 'Header' => undef, + 'Line' => '24', + 'Name' => '__u16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '2031' => { + 'BaseType' => '70', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__u32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2043' => { + 'BaseType' => '837', + 'Header' => undef, + 'Line' => '31', + 'Name' => '__u64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '2055' => { + 'BaseType' => '2019', + 'Header' => undef, + 'Line' => '25', + 'Name' => '__be16', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '20638' => { + 'Header' => undef, + 'Line' => '363', + 'Memb' => { + '0' => { + 'name' => 'IBV_MTU_256', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MTU_512', + 'value' => '2' + }, + '2' => { + 'name' => 'IBV_MTU_1024', + 'value' => '3' + }, + '3' => { + 'name' => 'IBV_MTU_2048', + 'value' => '4' + }, + '4' => { + 'name' => 'IBV_MTU_4096', + 'value' => '5' + } + }, + 'Name' => 'enum ibv_mtu', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2067' => { + 'BaseType' => '2031', + 'Header' => undef, + 'Line' => '27', + 'Name' => '__be32', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '2079' => { + 'BaseType' => '2043', + 'Header' => undef, + 'Line' => '29', + 'Name' => '__be64', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '209' => { + 'BaseType' => '185', + 'Header' => undef, + 'Line' => '152', + 'Name' => '__off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '21105' => { + 'Header' => undef, + 'Line' => '450', + 'Memb' => { + '0' => { + 'name' => 'IBV_EVENT_CQ_ERR', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_EVENT_QP_FATAL', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_EVENT_PORT_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_EVENT_LID_CHANGE', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_EVENT_PKEY_CHANGE', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_EVENT_SM_CHANGE', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_EVENT_SRQ_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_EVENT_SRQ_LIMIT_REACHED', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_EVENT_QP_LAST_WQE_REACHED', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_EVENT_CLIENT_REREGISTER', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_EVENT_GID_CHANGE', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_EVENT_WQ_FATAL', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_EVENT_QP_REQ_ERR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_EVENT_QP_ACCESS_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_EVENT_COMM_EST', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_EVENT_SQ_DRAINED', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_EVENT_PATH_MIG', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_EVENT_PATH_MIG_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_EVENT_DEVICE_FATAL', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_EVENT_PORT_ACTIVE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_event_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '21242' => { + 'Header' => undef, + 'Line' => '1507', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '26786' + }, + '2' => { + 'name' => 'cq_context', + 'offset' => '22', + 'type' => '82' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '813' + }, + '4' => { + 'name' => 'cqe', + 'offset' => '40', + 'type' => '161' + }, + '5' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '16386' + }, + '6' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '16460' + }, + '7' => { + 'name' => 'comp_events_completed', + 'offset' => '288', + 'type' => '813' + }, + '8' => { + 'name' => 'async_events_completed', + 'offset' => '292', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_cq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '21382' => { + 'BaseType' => '21242', + 'Name' => 'struct ibv_cq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '21387' => { + 'Header' => undef, + 'Line' => '1282', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'qp_context', + 'offset' => '8', + 'type' => '82' + }, + '10' => { + 'name' => 'mutex', + 'offset' => '100', + 'type' => '16386' + }, + '11' => { + 'name' => 'cond', + 'offset' => '260', + 'type' => '16460' + }, + '12' => { + 'name' => 'events_completed', + 'offset' => '338', + 'type' => '813' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '22954' + }, + '3' => { + 'name' => 'send_cq', + 'offset' => '36', + 'type' => '21382' + }, + '4' => { + 'name' => 'recv_cq', + 'offset' => '50', + 'type' => '21382' + }, + '5' => { + 'name' => 'srq', + 'offset' => '64', + 'type' => '21698' + }, + '6' => { + 'name' => 'handle', + 'offset' => '72', + 'type' => '813' + }, + '7' => { + 'name' => 'qp_num', + 'offset' => '82', + 'type' => '813' + }, + '8' => { + 'name' => 'state', + 'offset' => '86', + 'type' => '24916' + }, + '9' => { + 'name' => 'qp_type', + 'offset' => '96', + 'type' => '11209' + } + }, + 'Name' => 'struct ibv_qp', + 'Size' => '160', + 'Type' => 'Struct' + }, + '21582' => { + 'BaseType' => '21387', + 'Name' => 'struct ibv_qp*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '21587' => { + 'Header' => undef, + 'Line' => '1242', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'srq_context', + 'offset' => '8', + 'type' => '82' + }, + '2' => { + 'name' => 'pd', + 'offset' => '22', + 'type' => '22954' + }, + '3' => { + 'name' => 'handle', + 'offset' => '36', + 'type' => '813' + }, + '4' => { + 'name' => 'mutex', + 'offset' => '50', + 'type' => '16386' + }, + '5' => { + 'name' => 'cond', + 'offset' => '114', + 'type' => '16460' + }, + '6' => { + 'name' => 'events_completed', + 'offset' => '288', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_srq', + 'Size' => '128', + 'Type' => 'Struct' + }, + '21698' => { + 'BaseType' => '21587', + 'Name' => 'struct ibv_srq*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '21902' => { + 'Header' => undef, + 'Line' => '484', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SUCCESS', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_LOC_LEN_ERR', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_REM_ACCESS_ERR', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WC_REM_OP_ERR', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WC_RETRY_EXC_ERR', + 'value' => '12' + }, + '13' => { + 'name' => 'IBV_WC_RNR_RETRY_EXC_ERR', + 'value' => '13' + }, + '14' => { + 'name' => 'IBV_WC_LOC_RDD_VIOL_ERR', + 'value' => '14' + }, + '15' => { + 'name' => 'IBV_WC_REM_INV_RD_REQ_ERR', + 'value' => '15' + }, + '16' => { + 'name' => 'IBV_WC_REM_ABORT_ERR', + 'value' => '16' + }, + '17' => { + 'name' => 'IBV_WC_INV_EECN_ERR', + 'value' => '17' + }, + '18' => { + 'name' => 'IBV_WC_INV_EEC_STATE_ERR', + 'value' => '18' + }, + '19' => { + 'name' => 'IBV_WC_FATAL_ERR', + 'value' => '19' + }, + '2' => { + 'name' => 'IBV_WC_LOC_QP_OP_ERR', + 'value' => '2' + }, + '20' => { + 'name' => 'IBV_WC_RESP_TIMEOUT_ERR', + 'value' => '20' + }, + '21' => { + 'name' => 'IBV_WC_GENERAL_ERR', + 'value' => '21' + }, + '22' => { + 'name' => 'IBV_WC_TM_ERR', + 'value' => '22' + }, + '23' => { + 'name' => 'IBV_WC_TM_RNDV_INCOMPLETE', + 'value' => '23' + }, + '3' => { + 'name' => 'IBV_WC_LOC_EEC_OP_ERR', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_LOC_PROT_ERR', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_WR_FLUSH_ERR', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_MW_BIND_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_BAD_RESP_ERR', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_LOC_ACCESS_ERR', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_REM_INV_REQ_ERR', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_status', + 'Size' => '4', + 'Type' => 'Enum' + }, + '22063' => { + 'Header' => undef, + 'Line' => '512', + 'Memb' => { + '0' => { + 'name' => 'IBV_WC_SEND', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WC_RDMA_WRITE', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WC_RECV', + 'value' => '128' + }, + '11' => { + 'name' => 'IBV_WC_RECV_RDMA_WITH_IMM', + 'value' => '129' + }, + '12' => { + 'name' => 'IBV_WC_TM_ADD', + 'value' => '130' + }, + '13' => { + 'name' => 'IBV_WC_TM_DEL', + 'value' => '131' + }, + '14' => { + 'name' => 'IBV_WC_TM_SYNC', + 'value' => '132' + }, + '15' => { + 'name' => 'IBV_WC_TM_RECV', + 'value' => '133' + }, + '16' => { + 'name' => 'IBV_WC_TM_NO_TAG', + 'value' => '134' + }, + '17' => { + 'name' => 'IBV_WC_DRIVER1', + 'value' => '135' + }, + '18' => { + 'name' => 'IBV_WC_DRIVER2', + 'value' => '136' + }, + '19' => { + 'name' => 'IBV_WC_DRIVER3', + 'value' => '137' + }, + '2' => { + 'name' => 'IBV_WC_RDMA_READ', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WC_COMP_SWAP', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WC_FETCH_ADD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WC_BIND_MW', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WC_LOCAL_INV', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WC_TSO', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WC_FLUSH', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WC_ATOMIC_WRITE', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wc_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '22331' => { + 'Header' => undef, + 'Line' => '597', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '2067' + }, + '1' => { + 'name' => 'invalidated_rkey', + 'offset' => '0', + 'type' => '813' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '22366' => { + 'Header' => undef, + 'Line' => '588', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '825' + }, + '1' => { + 'name' => 'status', + 'offset' => '8', + 'type' => '21902' + }, + '10' => { + 'name' => 'slid', + 'offset' => '66', + 'type' => '801' + }, + '11' => { + 'name' => 'sl', + 'offset' => '68', + 'type' => '789' + }, + '12' => { + 'name' => 'dlid_path_bits', + 'offset' => '69', + 'type' => '789' + }, + '2' => { + 'name' => 'opcode', + 'offset' => '18', + 'type' => '22063' + }, + '3' => { + 'name' => 'vendor_err', + 'offset' => '22', + 'type' => '813' + }, + '4' => { + 'name' => 'byte_len', + 'offset' => '32', + 'type' => '813' + }, + '5' => { + 'name' => 'unnamed0', + 'offset' => '36', + 'type' => '22331' + }, + '6' => { + 'name' => 'qp_num', + 'offset' => '40', + 'type' => '813' + }, + '7' => { + 'name' => 'src_qp', + 'offset' => '50', + 'type' => '813' + }, + '8' => { + 'name' => 'wc_flags', + 'offset' => '54', + 'type' => '70' + }, + '9' => { + 'name' => 'pkey_index', + 'offset' => '64', + 'type' => '801' + } + }, + 'Name' => 'struct ibv_wc', + 'Size' => '48', + 'Type' => 'Struct' + }, + '22553' => { + 'Header' => undef, + 'Line' => '624', + 'Memb' => { + '0' => { + 'name' => 'mr', + 'offset' => '0', + 'type' => '22733' + }, + '1' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '825' + }, + '2' => { + 'name' => 'length', + 'offset' => '22', + 'type' => '825' + }, + '3' => { + 'name' => 'mw_access_flags', + 'offset' => '36', + 'type' => '70' + } + }, + 'Name' => 'struct ibv_mw_bind_info', + 'Size' => '32', + 'Type' => 'Struct' + }, + '22622' => { + 'Header' => undef, + 'Line' => '667', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '22954' + }, + '2' => { + 'name' => 'addr', + 'offset' => '22', + 'type' => '82' + }, + '3' => { + 'name' => 'length', + 'offset' => '36', + 'type' => '46' + }, + '4' => { + 'name' => 'handle', + 'offset' => '50', + 'type' => '813' + }, + '5' => { + 'name' => 'lkey', + 'offset' => '54', + 'type' => '813' + }, + '6' => { + 'name' => 'rkey', + 'offset' => '64', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_mr', + 'Size' => '48', + 'Type' => 'Struct' + }, + '22733' => { + 'BaseType' => '22622', + 'Name' => 'struct ibv_mr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '22738' => { + 'Header' => undef, + 'Line' => '631', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'handle', + 'offset' => '8', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_pd', + 'Size' => '16', + 'Type' => 'Struct' + }, + '22926' => { + 'Header' => undef, + 'Line' => '656', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + } + }, + 'Name' => 'struct ibv_xrcd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '22954' => { + 'BaseType' => '22738', + 'Name' => 'struct ibv_pd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '22959' => { + 'Header' => undef, + 'Line' => '677', + 'Memb' => { + '0' => { + 'name' => 'IBV_MW_TYPE_1', + 'value' => '1' + }, + '1' => { + 'name' => 'IBV_MW_TYPE_2', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mw_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '22988' => { + 'Header' => undef, + 'Line' => '682', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '22954' + }, + '2' => { + 'name' => 'rkey', + 'offset' => '22', + 'type' => '813' + }, + '3' => { + 'name' => 'handle', + 'offset' => '32', + 'type' => '813' + }, + '4' => { + 'name' => 'type', + 'offset' => '36', + 'type' => '22959' + } + }, + 'Name' => 'struct ibv_mw', + 'Size' => '32', + 'Type' => 'Struct' + }, + '23071' => { + 'Header' => undef, + 'Line' => '690', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '2428' + }, + '1' => { + 'name' => 'flow_label', + 'offset' => '22', + 'type' => '813' + }, + '2' => { + 'name' => 'sgid_index', + 'offset' => '32', + 'type' => '789' + }, + '3' => { + 'name' => 'hop_limit', + 'offset' => '33', + 'type' => '789' + }, + '4' => { + 'name' => 'traffic_class', + 'offset' => '34', + 'type' => '789' + } + }, + 'Name' => 'struct ibv_global_route', + 'Size' => '24', + 'Type' => 'Struct' + }, + '23155' => { + 'Header' => undef, + 'Line' => '761', + 'Memb' => { + '0' => { + 'name' => 'grh', + 'offset' => '0', + 'type' => '23071' + }, + '1' => { + 'name' => 'dlid', + 'offset' => '36', + 'type' => '801' + }, + '2' => { + 'name' => 'sl', + 'offset' => '38', + 'type' => '789' + }, + '3' => { + 'name' => 'src_path_bits', + 'offset' => '39', + 'type' => '789' + }, + '4' => { + 'name' => 'static_rate', + 'offset' => '40', + 'type' => '789' + }, + '5' => { + 'name' => 'is_global', + 'offset' => '41', + 'type' => '789' + }, + '6' => { + 'name' => 'port_num', + 'offset' => '48', + 'type' => '789' + } + }, + 'Name' => 'struct ibv_ah_attr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '23266' => { + 'Header' => undef, + 'Line' => '776', + 'Memb' => { + '0' => { + 'name' => 'max_wr', + 'offset' => '0', + 'type' => '813' + }, + '1' => { + 'name' => 'max_sge', + 'offset' => '4', + 'type' => '813' + }, + '2' => { + 'name' => 'srq_limit', + 'offset' => '8', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_srq_attr', + 'Size' => '12', + 'Type' => 'Struct' + }, + '233' => { + 'BaseType' => '185', + 'Header' => undef, + 'Line' => '194', + 'Name' => '__ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '23322' => { + 'Header' => undef, + 'Line' => '782', + 'Memb' => { + '0' => { + 'name' => 'srq_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '23266' + } + }, + 'Name' => 'struct ibv_srq_init_attr', + 'Size' => '24', + 'Type' => 'Struct' + }, + '23364' => { + 'Header' => undef, + 'Line' => '787', + 'Memb' => { + '0' => { + 'name' => 'IBV_SRQT_BASIC', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_SRQT_XRC', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_SRQT_TM', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_srq_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '23452' => { + 'Header' => undef, + 'Line' => '802', + 'Memb' => { + '0' => { + 'name' => 'max_num_tags', + 'offset' => '0', + 'type' => '813' + }, + '1' => { + 'name' => 'max_ops', + 'offset' => '4', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_tm_cap', + 'Size' => '8', + 'Type' => 'Struct' + }, + '23494' => { + 'Header' => undef, + 'Line' => '807', + 'Memb' => { + '0' => { + 'name' => 'srq_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'attr', + 'offset' => '8', + 'type' => '23266' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '32', + 'type' => '813' + }, + '3' => { + 'name' => 'srq_type', + 'offset' => '36', + 'type' => '23364' + }, + '4' => { + 'name' => 'pd', + 'offset' => '50', + 'type' => '22954' + }, + '5' => { + 'name' => 'xrcd', + 'offset' => '64', + 'type' => '23618' + }, + '6' => { + 'name' => 'cq', + 'offset' => '72', + 'type' => '21382' + }, + '7' => { + 'name' => 'tm_cap', + 'offset' => '86', + 'type' => '23452' + } + }, + 'Name' => 'struct ibv_srq_init_attr_ex', + 'Size' => '64', + 'Type' => 'Struct' + }, + '23618' => { + 'BaseType' => '22926', + 'Name' => 'struct ibv_xrcd*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '23895' => { + 'Header' => undef, + 'Line' => '879', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'ind_tbl_handle', + 'offset' => '8', + 'type' => '161' + }, + '2' => { + 'name' => 'ind_tbl_num', + 'offset' => '18', + 'type' => '161' + }, + '3' => { + 'name' => 'comp_mask', + 'offset' => '22', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_rwq_ind_table', + 'Size' => '24', + 'Type' => 'Struct' + }, + '2392' => { + 'Header' => undef, + 'Line' => '66', + 'Memb' => { + '0' => { + 'name' => 'subnet_prefix', + 'offset' => '0', + 'type' => '2079' + }, + '1' => { + 'name' => 'interface_id', + 'offset' => '8', + 'type' => '2079' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '24085' => { + 'Header' => undef, + 'Line' => '910', + 'Memb' => { + '0' => { + 'name' => 'max_send_wr', + 'offset' => '0', + 'type' => '813' + }, + '1' => { + 'name' => 'max_recv_wr', + 'offset' => '4', + 'type' => '813' + }, + '2' => { + 'name' => 'max_send_sge', + 'offset' => '8', + 'type' => '813' + }, + '3' => { + 'name' => 'max_recv_sge', + 'offset' => '18', + 'type' => '813' + }, + '4' => { + 'name' => 'max_inline_data', + 'offset' => '22', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_qp_cap', + 'Size' => '20', + 'Type' => 'Struct' + }, + '24169' => { + 'Header' => undef, + 'Line' => '918', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '21382' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '21382' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '21698' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '24085' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '11209' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_qp_init_attr', + 'Size' => '64', + 'Type' => 'Struct' + }, + '2428' => { + 'Header' => undef, + 'Line' => '64', + 'Memb' => { + '0' => { + 'name' => 'raw', + 'offset' => '0', + 'type' => '1646' + }, + '1' => { + 'name' => 'global', + 'offset' => '0', + 'type' => '2392' + } + }, + 'Name' => 'union ibv_gid', + 'Size' => '16', + 'Type' => 'Union' + }, + '24340' => { + 'Header' => undef, + 'Line' => '962', + 'Memb' => { + '0' => { + 'name' => 'rx_hash_function', + 'offset' => '0', + 'type' => '789' + }, + '1' => { + 'name' => 'rx_hash_key_len', + 'offset' => '1', + 'type' => '789' + }, + '2' => { + 'name' => 'rx_hash_key', + 'offset' => '8', + 'type' => '24410' + }, + '3' => { + 'name' => 'rx_hash_fields_mask', + 'offset' => '22', + 'type' => '825' + } + }, + 'Name' => 'struct ibv_rx_hash_conf', + 'Size' => '24', + 'Type' => 'Struct' + }, + '24410' => { + 'BaseType' => '789', + 'Name' => 'uint8_t*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '24415' => { + 'Header' => undef, + 'Line' => '971', + 'Memb' => { + '0' => { + 'name' => 'qp_context', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'send_cq', + 'offset' => '8', + 'type' => '21382' + }, + '10' => { + 'name' => 'create_flags', + 'offset' => '128', + 'type' => '813' + }, + '11' => { + 'name' => 'max_tso_header', + 'offset' => '132', + 'type' => '801' + }, + '12' => { + 'name' => 'rwq_ind_tbl', + 'offset' => '136', + 'type' => '24652' + }, + '13' => { + 'name' => 'rx_hash_conf', + 'offset' => '150', + 'type' => '24340' + }, + '14' => { + 'name' => 'source_qpn', + 'offset' => '288', + 'type' => '813' + }, + '15' => { + 'name' => 'send_ops_flags', + 'offset' => '296', + 'type' => '825' + }, + '2' => { + 'name' => 'recv_cq', + 'offset' => '22', + 'type' => '21382' + }, + '3' => { + 'name' => 'srq', + 'offset' => '36', + 'type' => '21698' + }, + '4' => { + 'name' => 'cap', + 'offset' => '50', + 'type' => '24085' + }, + '5' => { + 'name' => 'qp_type', + 'offset' => '82', + 'type' => '11209' + }, + '6' => { + 'name' => 'sq_sig_all', + 'offset' => '86', + 'type' => '161' + }, + '7' => { + 'name' => 'comp_mask', + 'offset' => '96', + 'type' => '813' + }, + '8' => { + 'name' => 'pd', + 'offset' => '100', + 'type' => '22954' + }, + '9' => { + 'name' => 'xrcd', + 'offset' => '114', + 'type' => '23618' + } + }, + 'Name' => 'struct ibv_qp_init_attr_ex', + 'Size' => '136', + 'Type' => 'Struct' + }, + '245' => { + 'BaseType' => '255', + 'Name' => 'char*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '24652' => { + 'BaseType' => '23895', + 'Name' => 'struct ibv_rwq_ind_table*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '24916' => { + 'Header' => undef, + 'Line' => '1049', + 'Memb' => { + '0' => { + 'name' => 'IBV_QPS_RESET', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_QPS_INIT', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_QPS_RTR', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_QPS_RTS', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_QPS_SQD', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_QPS_SQE', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_QPS_ERR', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_QPS_UNKNOWN', + 'value' => '7' + } + }, + 'Name' => 'enum ibv_qp_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '24981' => { + 'Header' => undef, + 'Line' => '1060', + 'Memb' => { + '0' => { + 'name' => 'IBV_MIG_MIGRATED', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_MIG_REARM', + 'value' => '1' + }, + '2' => { + 'name' => 'IBV_MIG_ARMED', + 'value' => '2' + } + }, + 'Name' => 'enum ibv_mig_state', + 'Size' => '4', + 'Type' => 'Enum' + }, + '25016' => { + 'Header' => undef, + 'Line' => '1066', + 'Memb' => { + '0' => { + 'name' => 'qp_state', + 'offset' => '0', + 'type' => '24916' + }, + '1' => { + 'name' => 'cur_qp_state', + 'offset' => '4', + 'type' => '24916' + }, + '10' => { + 'name' => 'ah_attr', + 'offset' => '86', + 'type' => '23155' + }, + '11' => { + 'name' => 'alt_ah_attr', + 'offset' => '136', + 'type' => '23155' + }, + '12' => { + 'name' => 'pkey_index', + 'offset' => '288', + 'type' => '801' + }, + '13' => { + 'name' => 'alt_pkey_index', + 'offset' => '290', + 'type' => '801' + }, + '14' => { + 'name' => 'en_sqd_async_notify', + 'offset' => '292', + 'type' => '789' + }, + '15' => { + 'name' => 'sq_draining', + 'offset' => '293', + 'type' => '789' + }, + '16' => { + 'name' => 'max_rd_atomic', + 'offset' => '294', + 'type' => '789' + }, + '17' => { + 'name' => 'max_dest_rd_atomic', + 'offset' => '295', + 'type' => '789' + }, + '18' => { + 'name' => 'min_rnr_timer', + 'offset' => '296', + 'type' => '789' + }, + '19' => { + 'name' => 'port_num', + 'offset' => '297', + 'type' => '789' + }, + '2' => { + 'name' => 'path_mtu', + 'offset' => '8', + 'type' => '20638' + }, + '20' => { + 'name' => 'timeout', + 'offset' => '304', + 'type' => '789' + }, + '21' => { + 'name' => 'retry_cnt', + 'offset' => '305', + 'type' => '789' + }, + '22' => { + 'name' => 'rnr_retry', + 'offset' => '306', + 'type' => '789' + }, + '23' => { + 'name' => 'alt_port_num', + 'offset' => '307', + 'type' => '789' + }, + '24' => { + 'name' => 'alt_timeout', + 'offset' => '308', + 'type' => '789' + }, + '25' => { + 'name' => 'rate_limit', + 'offset' => '310', + 'type' => '813' + }, + '3' => { + 'name' => 'path_mig_state', + 'offset' => '18', + 'type' => '24981' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '22', + 'type' => '813' + }, + '5' => { + 'name' => 'rq_psn', + 'offset' => '32', + 'type' => '813' + }, + '6' => { + 'name' => 'sq_psn', + 'offset' => '36', + 'type' => '813' + }, + '7' => { + 'name' => 'dest_qp_num', + 'offset' => '40', + 'type' => '813' + }, + '8' => { + 'name' => 'qp_access_flags', + 'offset' => '50', + 'type' => '70' + }, + '9' => { + 'name' => 'cap', + 'offset' => '54', + 'type' => '24085' + } + }, + 'Name' => 'struct ibv_qp_attr', + 'Size' => '144', + 'Type' => 'Struct' + }, + '25464' => { + 'Header' => undef, + 'Line' => '1102', + 'Memb' => { + '0' => { + 'name' => 'IBV_WR_RDMA_WRITE', + 'value' => '0' + }, + '1' => { + 'name' => 'IBV_WR_RDMA_WRITE_WITH_IMM', + 'value' => '1' + }, + '10' => { + 'name' => 'IBV_WR_TSO', + 'value' => '10' + }, + '11' => { + 'name' => 'IBV_WR_DRIVER1', + 'value' => '11' + }, + '12' => { + 'name' => 'IBV_WR_FLUSH', + 'value' => '14' + }, + '13' => { + 'name' => 'IBV_WR_ATOMIC_WRITE', + 'value' => '15' + }, + '2' => { + 'name' => 'IBV_WR_SEND', + 'value' => '2' + }, + '3' => { + 'name' => 'IBV_WR_SEND_WITH_IMM', + 'value' => '3' + }, + '4' => { + 'name' => 'IBV_WR_RDMA_READ', + 'value' => '4' + }, + '5' => { + 'name' => 'IBV_WR_ATOMIC_CMP_AND_SWP', + 'value' => '5' + }, + '6' => { + 'name' => 'IBV_WR_ATOMIC_FETCH_AND_ADD', + 'value' => '6' + }, + '7' => { + 'name' => 'IBV_WR_LOCAL_INV', + 'value' => '7' + }, + '8' => { + 'name' => 'IBV_WR_BIND_MW', + 'value' => '8' + }, + '9' => { + 'name' => 'IBV_WR_SEND_WITH_INV', + 'value' => '9' + } + }, + 'Name' => 'enum ibv_wr_opcode', + 'Size' => '4', + 'Type' => 'Enum' + }, + '255' => { + 'Name' => 'char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '25565' => { + 'Header' => undef, + 'Line' => '1144', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '825' + }, + '1' => { + 'name' => 'length', + 'offset' => '8', + 'type' => '813' + }, + '2' => { + 'name' => 'lkey', + 'offset' => '18', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_sge', + 'Size' => '16', + 'Type' => 'Struct' + }, + '25621' => { + 'Header' => undef, + 'Line' => '1160', + 'Memb' => { + '0' => { + 'name' => 'imm_data', + 'offset' => '0', + 'type' => '2067' + }, + '1' => { + 'name' => 'invalidate_rkey', + 'offset' => '0', + 'type' => '813' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '25656' => { + 'Header' => undef, + 'Line' => '1165', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '825' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '813' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '25694' => { + 'Header' => undef, + 'Line' => '1169', + 'Memb' => { + '0' => { + 'name' => 'remote_addr', + 'offset' => '0', + 'type' => '825' + }, + '1' => { + 'name' => 'compare_add', + 'offset' => '8', + 'type' => '825' + }, + '2' => { + 'name' => 'swap', + 'offset' => '22', + 'type' => '825' + }, + '3' => { + 'name' => 'rkey', + 'offset' => '36', + 'type' => '813' + } + }, + 'Size' => '32', + 'Type' => 'Struct' + }, + '25760' => { + 'Header' => undef, + 'Line' => '1175', + 'Memb' => { + '0' => { + 'name' => 'ah', + 'offset' => '0', + 'type' => '25866' + }, + '1' => { + 'name' => 'remote_qpn', + 'offset' => '8', + 'type' => '813' + }, + '2' => { + 'name' => 'remote_qkey', + 'offset' => '18', + 'type' => '813' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '25811' => { + 'Header' => undef, + 'Line' => '1694', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'pd', + 'offset' => '8', + 'type' => '22954' + }, + '2' => { + 'name' => 'handle', + 'offset' => '22', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_ah', + 'Size' => '24', + 'Type' => 'Struct' + }, + '25866' => { + 'BaseType' => '25811', + 'Name' => 'struct ibv_ah*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '25871' => { + 'Header' => undef, + 'Line' => '1164', + 'Memb' => { + '0' => { + 'name' => 'rdma', + 'offset' => '0', + 'type' => '25656' + }, + '1' => { + 'name' => 'atomic', + 'offset' => '0', + 'type' => '25694' + }, + '2' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '25760' + } + }, + 'Size' => '32', + 'Type' => 'Union' + }, + '25918' => { + 'Header' => undef, + 'Line' => '1182', + 'Memb' => { + '0' => { + 'name' => 'remote_srqn', + 'offset' => '0', + 'type' => '813' + } + }, + 'Size' => '4', + 'Type' => 'Struct' + }, + '25942' => { + 'Header' => undef, + 'Line' => '1181', + 'Memb' => { + '0' => { + 'name' => 'xrc', + 'offset' => '0', + 'type' => '25918' + } + }, + 'Size' => '4', + 'Type' => 'Union' + }, + '25964' => { + 'Header' => undef, + 'Line' => '1187', + 'Memb' => { + '0' => { + 'name' => 'mw', + 'offset' => '0', + 'type' => '26015' + }, + '1' => { + 'name' => 'rkey', + 'offset' => '8', + 'type' => '813' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '22553' + } + }, + 'Size' => '48', + 'Type' => 'Struct' + }, + '26015' => { + 'BaseType' => '22988', + 'Name' => 'struct ibv_mw*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '26020' => { + 'Header' => undef, + 'Line' => '1192', + 'Memb' => { + '0' => { + 'name' => 'hdr', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'hdr_sz', + 'offset' => '8', + 'type' => '801' + }, + '2' => { + 'name' => 'mss', + 'offset' => '16', + 'type' => '801' + } + }, + 'Size' => '16', + 'Type' => 'Struct' + }, + '26072' => { + 'Header' => undef, + 'Line' => '1186', + 'Memb' => { + '0' => { + 'name' => 'bind_mw', + 'offset' => '0', + 'type' => '25964' + }, + '1' => { + 'name' => 'tso', + 'offset' => '0', + 'type' => '26020' + } + }, + 'Size' => '48', + 'Type' => 'Union' + }, + '26107' => { + 'Header' => undef, + 'Line' => '1150', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '825' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '26244' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '26249' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '161' + }, + '4' => { + 'name' => 'opcode', + 'offset' => '40', + 'type' => '25464' + }, + '5' => { + 'name' => 'send_flags', + 'offset' => '50', + 'type' => '70' + }, + '6' => { + 'name' => 'unnamed0', + 'offset' => '54', + 'type' => '25621' + }, + '7' => { + 'name' => 'wr', + 'offset' => '64', + 'type' => '25871' + }, + '8' => { + 'name' => 'qp_type', + 'offset' => '114', + 'type' => '25942' + }, + '9' => { + 'name' => 'unnamed1', + 'offset' => '128', + 'type' => '26072' + } + }, + 'Name' => 'struct ibv_send_wr', + 'Size' => '128', + 'Type' => 'Struct' + }, + '262' => { + 'BaseType' => '255', + 'Name' => 'char const', + 'Size' => '1', + 'Type' => 'Const' + }, + '26244' => { + 'BaseType' => '26107', + 'Name' => 'struct ibv_send_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '26249' => { + 'BaseType' => '25565', + 'Name' => 'struct ibv_sge*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '26254' => { + 'Header' => undef, + 'Line' => '1200', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '825' + }, + '1' => { + 'name' => 'next', + 'offset' => '8', + 'type' => '26324' + }, + '2' => { + 'name' => 'sg_list', + 'offset' => '22', + 'type' => '26249' + }, + '3' => { + 'name' => 'num_sge', + 'offset' => '36', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_recv_wr', + 'Size' => '32', + 'Type' => 'Struct' + }, + '26324' => { + 'BaseType' => '26254', + 'Name' => 'struct ibv_recv_wr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '26584' => { + 'Header' => undef, + 'Line' => '1236', + 'Memb' => { + '0' => { + 'name' => 'wr_id', + 'offset' => '0', + 'type' => '825' + }, + '1' => { + 'name' => 'send_flags', + 'offset' => '8', + 'type' => '70' + }, + '2' => { + 'name' => 'bind_info', + 'offset' => '22', + 'type' => '22553' + } + }, + 'Name' => 'struct ibv_mw_bind', + 'Size' => '48', + 'Type' => 'Struct' + }, + '26665' => { + 'BaseType' => '26324', + 'Name' => 'struct ibv_recv_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '26675' => { + 'Header' => undef, + 'Line' => '1486', + 'Memb' => { + '0' => { + 'name' => 'vendor_id', + 'offset' => '0', + 'type' => '813' + }, + '1' => { + 'name' => 'options', + 'offset' => '4', + 'type' => '813' + }, + '2' => { + 'name' => 'comp_mask', + 'offset' => '8', + 'type' => '813' + } + }, + 'Name' => 'struct ibv_ece', + 'Size' => '12', + 'Type' => 'Struct' + }, + '26731' => { + 'Header' => undef, + 'Line' => '1501', + 'Memb' => { + '0' => { + 'name' => 'context', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'fd', + 'offset' => '8', + 'type' => '161' + }, + '2' => { + 'name' => 'refcnt', + 'offset' => '18', + 'type' => '161' + } + }, + 'Name' => 'struct ibv_comp_channel', + 'Size' => '16', + 'Type' => 'Struct' + }, + '26786' => { + 'BaseType' => '26731', + 'Name' => 'struct ibv_comp_channel*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '272' => { + 'BaseType' => '70', + 'Header' => undef, + 'Line' => '210', + 'Name' => '__socklen_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '28066' => { + 'Header' => undef, + 'Line' => '1967', + 'Memb' => { + '0' => { + 'name' => '_dummy1', + 'offset' => '0', + 'type' => '28247' + }, + '1' => { + 'name' => '_dummy2', + 'offset' => '8', + 'type' => '28263' + } + }, + 'Name' => 'struct _ibv_device_ops', + 'Size' => '16', + 'Type' => 'Struct' + }, + '28128' => { + 'BaseType' => '28133', + 'Name' => 'struct ibv_device*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '28133' => { + 'Header' => undef, + 'Line' => '1977', + 'Memb' => { + '0' => { + 'name' => '_ops', + 'offset' => '0', + 'type' => '28066' + }, + '1' => { + 'name' => 'node_type', + 'offset' => '22', + 'type' => '18857' + }, + '2' => { + 'name' => 'transport_type', + 'offset' => '32', + 'type' => '18921' + }, + '3' => { + 'name' => 'name', + 'offset' => '36', + 'type' => '19872' + }, + '4' => { + 'name' => 'dev_name', + 'offset' => '136', + 'type' => '19872' + }, + '5' => { + 'name' => 'dev_path', + 'offset' => '338', + 'type' => '28294' + }, + '6' => { + 'name' => 'ibdev_path', + 'offset' => '1032', + 'type' => '28294' + } + }, + 'Name' => 'struct ibv_device', + 'Size' => '664', + 'Type' => 'Struct' + }, + '28247' => { + 'Name' => 'struct ibv_context*(*)(struct ibv_device*, int)', + 'Param' => { + '0' => { + 'type' => '28128' + }, + '1' => { + 'type' => '161' + } + }, + 'Return' => '19258', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28263' => { + 'Name' => 'void(*)(struct ibv_context*)', + 'Param' => { + '0' => { + 'type' => '19258' + } + }, + 'Return' => '1', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28294' => { + 'BaseType' => '255', + 'Name' => 'char[256]', + 'Size' => '256', + 'Type' => 'Array' + }, + '28310' => { + 'Header' => undef, + 'Line' => '1992', + 'Memb' => { + '0' => { + 'name' => '_compat_query_device', + 'offset' => '0', + 'type' => '28798' + }, + '1' => { + 'name' => '_compat_query_port', + 'offset' => '8', + 'type' => '28838' + }, + '10' => { + 'name' => '_compat_create_cq', + 'offset' => '128', + 'type' => '28848' + }, + '11' => { + 'name' => 'poll_cq', + 'offset' => '136', + 'type' => '28963' + }, + '12' => { + 'name' => 'req_notify_cq', + 'offset' => '150', + 'type' => '28988' + }, + '13' => { + 'name' => '_compat_cq_event', + 'offset' => '260', + 'type' => '28848' + }, + '14' => { + 'name' => '_compat_resize_cq', + 'offset' => '274', + 'type' => '28848' + }, + '15' => { + 'name' => '_compat_destroy_cq', + 'offset' => '288', + 'type' => '28848' + }, + '16' => { + 'name' => '_compat_create_srq', + 'offset' => '296', + 'type' => '28848' + }, + '17' => { + 'name' => '_compat_modify_srq', + 'offset' => '310', + 'type' => '28848' + }, + '18' => { + 'name' => '_compat_query_srq', + 'offset' => '324', + 'type' => '28848' + }, + '19' => { + 'name' => '_compat_destroy_srq', + 'offset' => '338', + 'type' => '28848' + }, + '2' => { + 'name' => '_compat_alloc_pd', + 'offset' => '22', + 'type' => '28848' + }, + '20' => { + 'name' => 'post_srq_recv', + 'offset' => '352', + 'type' => '29018' + }, + '21' => { + 'name' => '_compat_create_qp', + 'offset' => '360', + 'type' => '28848' + }, + '22' => { + 'name' => '_compat_query_qp', + 'offset' => '374', + 'type' => '28848' + }, + '23' => { + 'name' => '_compat_modify_qp', + 'offset' => '388', + 'type' => '28848' + }, + '24' => { + 'name' => '_compat_destroy_qp', + 'offset' => '402', + 'type' => '28848' + }, + '25' => { + 'name' => 'post_send', + 'offset' => '512', + 'type' => '29053' + }, + '26' => { + 'name' => 'post_recv', + 'offset' => '520', + 'type' => '29083' + }, + '27' => { + 'name' => '_compat_create_ah', + 'offset' => '534', + 'type' => '28848' + }, + '28' => { + 'name' => '_compat_destroy_ah', + 'offset' => '548', + 'type' => '28848' + }, + '29' => { + 'name' => '_compat_attach_mcast', + 'offset' => '562', + 'type' => '28848' + }, + '3' => { + 'name' => '_compat_dealloc_pd', + 'offset' => '36', + 'type' => '28848' + }, + '30' => { + 'name' => '_compat_detach_mcast', + 'offset' => '576', + 'type' => '28848' + }, + '31' => { + 'name' => '_compat_async_event', + 'offset' => '584', + 'type' => '28848' + }, + '4' => { + 'name' => '_compat_reg_mr', + 'offset' => '50', + 'type' => '28848' + }, + '5' => { + 'name' => '_compat_rereg_mr', + 'offset' => '64', + 'type' => '28848' + }, + '6' => { + 'name' => '_compat_dereg_mr', + 'offset' => '72', + 'type' => '28848' + }, + '7' => { + 'name' => 'alloc_mw', + 'offset' => '86', + 'type' => '28873' + }, + '8' => { + 'name' => 'bind_mw', + 'offset' => '100', + 'type' => '28908' + }, + '9' => { + 'name' => 'dealloc_mw', + 'offset' => '114', + 'type' => '28928' + } + }, + 'Name' => 'struct ibv_context_ops', + 'Size' => '256', + 'Type' => 'Struct' + }, + '28793' => { + 'BaseType' => '19338', + 'Name' => 'struct ibv_device_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '28798' => { + 'Name' => 'int(*)(struct ibv_context*, struct ibv_device_attr*)', + 'Param' => { + '0' => { + 'type' => '19258' + }, + '1' => { + 'type' => '28793' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28828' => { + 'BaseType' => '28833', + 'Name' => 'struct _compat_ibv_port_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '28833' => { + 'Name' => 'struct _compat_ibv_port_attr', + 'Type' => 'Struct' + }, + '28838' => { + 'Name' => 'int(*)(struct ibv_context*, uint8_t, struct _compat_ibv_port_attr*)', + 'Param' => { + '0' => { + 'type' => '19258' + }, + '1' => { + 'type' => '789' + }, + '2' => { + 'type' => '28828' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28848' => { + 'Name' => 'void*(*)()', + 'Return' => '82', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28873' => { + 'Name' => 'struct ibv_mw*(*)(struct ibv_pd*, enum ibv_mw_type)', + 'Param' => { + '0' => { + 'type' => '22954' + }, + '1' => { + 'type' => '22959' + } + }, + 'Return' => '26015', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28903' => { + 'BaseType' => '26584', + 'Name' => 'struct ibv_mw_bind*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '28908' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_mw*, struct ibv_mw_bind*)', + 'Param' => { + '0' => { + 'type' => '21582' + }, + '1' => { + 'type' => '26015' + }, + '2' => { + 'type' => '28903' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28928' => { + 'Name' => 'int(*)(struct ibv_mw*)', + 'Param' => { + '0' => { + 'type' => '26015' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28958' => { + 'BaseType' => '22366', + 'Name' => 'struct ibv_wc*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '28963' => { + 'Name' => 'int(*)(struct ibv_cq*, int, struct ibv_wc*)', + 'Param' => { + '0' => { + 'type' => '21382' + }, + '1' => { + 'type' => '161' + }, + '2' => { + 'type' => '28958' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '28988' => { + 'Name' => 'int(*)(struct ibv_cq*, int)', + 'Param' => { + '0' => { + 'type' => '21382' + }, + '1' => { + 'type' => '161' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '29018' => { + 'Name' => 'int(*)(struct ibv_srq*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '21698' + }, + '1' => { + 'type' => '26324' + }, + '2' => { + 'type' => '26665' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '29048' => { + 'BaseType' => '26244', + 'Name' => 'struct ibv_send_wr**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '29053' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_send_wr*, struct ibv_send_wr**)', + 'Param' => { + '0' => { + 'type' => '21582' + }, + '1' => { + 'type' => '26244' + }, + '2' => { + 'type' => '29048' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '29083' => { + 'Name' => 'int(*)(struct ibv_qp*, struct ibv_recv_wr*, struct ibv_recv_wr**)', + 'Param' => { + '0' => { + 'type' => '21582' + }, + '1' => { + 'type' => '26324' + }, + '2' => { + 'type' => '26665' + } + }, + 'Return' => '161', + 'Size' => '8', + 'Type' => 'FuncPtr' + }, + '2913' => { + 'Header' => undef, + 'Line' => '69', + 'Memb' => { + '0' => { + 'name' => 'RDMA_PS_IPOIB', + 'value' => '2' + }, + '1' => { + 'name' => 'RDMA_PS_TCP', + 'value' => '262' + }, + '2' => { + 'name' => 'RDMA_PS_UDP', + 'value' => '273' + }, + '3' => { + 'name' => 'RDMA_PS_IB', + 'value' => '319' + } + }, + 'Name' => 'enum rdma_port_space', + 'Size' => '4', + 'Type' => 'Enum' + }, + '2956' => { + 'Header' => undef, + 'Line' => '182', + 'Memb' => { + '0' => { + 'name' => 'ai_flags', + 'offset' => '0', + 'type' => '161' + }, + '1' => { + 'name' => 'ai_family', + 'offset' => '4', + 'type' => '161' + }, + '10' => { + 'name' => 'ai_route_len', + 'offset' => '86', + 'type' => '46' + }, + '11' => { + 'name' => 'ai_route', + 'offset' => '100', + 'type' => '82' + }, + '12' => { + 'name' => 'ai_connect_len', + 'offset' => '114', + 'type' => '46' + }, + '13' => { + 'name' => 'ai_connect', + 'offset' => '128', + 'type' => '82' + }, + '14' => { + 'name' => 'ai_next', + 'offset' => '136', + 'type' => '3170' + }, + '2' => { + 'name' => 'ai_qp_type', + 'offset' => '8', + 'type' => '161' + }, + '3' => { + 'name' => 'ai_port_space', + 'offset' => '18', + 'type' => '161' + }, + '4' => { + 'name' => 'ai_src_len', + 'offset' => '22', + 'type' => '1110' + }, + '5' => { + 'name' => 'ai_dst_len', + 'offset' => '32', + 'type' => '1110' + }, + '6' => { + 'name' => 'ai_src_addr', + 'offset' => '36', + 'type' => '1883' + }, + '7' => { + 'name' => 'ai_dst_addr', + 'offset' => '50', + 'type' => '1883' + }, + '8' => { + 'name' => 'ai_src_canonname', + 'offset' => '64', + 'type' => '245' + }, + '9' => { + 'name' => 'ai_dst_canonname', + 'offset' => '72', + 'type' => '245' + } + }, + 'Name' => 'struct rdma_addrinfo', + 'Size' => '96', + 'Type' => 'Struct' + }, + '31064' => { + 'BaseType' => '24415', + 'Name' => 'struct ibv_qp_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '31124' => { + 'BaseType' => '23494', + 'Name' => 'struct ibv_srq_init_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '31184' => { + 'Header' => undef, + 'Line' => '40', + 'Memb' => { + '0' => { + 'name' => 'dgid', + 'offset' => '0', + 'type' => '2428' + }, + '1' => { + 'name' => 'sgid', + 'offset' => '22', + 'type' => '2428' + }, + '10' => { + 'name' => 'pkey', + 'offset' => '84', + 'type' => '2055' + }, + '11' => { + 'name' => 'sl', + 'offset' => '86', + 'type' => '789' + }, + '12' => { + 'name' => 'mtu_selector', + 'offset' => '87', + 'type' => '789' + }, + '13' => { + 'name' => 'mtu', + 'offset' => '88', + 'type' => '789' + }, + '14' => { + 'name' => 'rate_selector', + 'offset' => '89', + 'type' => '789' + }, + '15' => { + 'name' => 'rate', + 'offset' => '96', + 'type' => '789' + }, + '16' => { + 'name' => 'packet_life_time_selector', + 'offset' => '97', + 'type' => '789' + }, + '17' => { + 'name' => 'packet_life_time', + 'offset' => '98', + 'type' => '789' + }, + '18' => { + 'name' => 'preference', + 'offset' => '99', + 'type' => '789' + }, + '2' => { + 'name' => 'dlid', + 'offset' => '50', + 'type' => '2055' + }, + '3' => { + 'name' => 'slid', + 'offset' => '52', + 'type' => '2055' + }, + '4' => { + 'name' => 'raw_traffic', + 'offset' => '54', + 'type' => '161' + }, + '5' => { + 'name' => 'flow_label', + 'offset' => '64', + 'type' => '2067' + }, + '6' => { + 'name' => 'hop_limit', + 'offset' => '68', + 'type' => '789' + }, + '7' => { + 'name' => 'traffic_class', + 'offset' => '69', + 'type' => '789' + }, + '8' => { + 'name' => 'reversible', + 'offset' => '72', + 'type' => '161' + }, + '9' => { + 'name' => 'numb_path', + 'offset' => '82', + 'type' => '789' + } + }, + 'Name' => 'struct ibv_sa_path_rec', + 'Size' => '64', + 'Type' => 'Struct' + }, + '3165' => { + 'BaseType' => '2956', + 'Name' => 'struct rdma_addrinfo const', + 'Size' => '96', + 'Type' => 'Const' + }, + '3170' => { + 'BaseType' => '2956', + 'Name' => 'struct rdma_addrinfo*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '31706' => { + 'Header' => undef, + 'Line' => '50', + 'Memb' => { + '0' => { + 'name' => 'RDMA_CM_EVENT_ADDR_RESOLVED', + 'value' => '0' + }, + '1' => { + 'name' => 'RDMA_CM_EVENT_ADDR_ERROR', + 'value' => '1' + }, + '10' => { + 'name' => 'RDMA_CM_EVENT_DISCONNECTED', + 'value' => '10' + }, + '11' => { + 'name' => 'RDMA_CM_EVENT_DEVICE_REMOVAL', + 'value' => '11' + }, + '12' => { + 'name' => 'RDMA_CM_EVENT_MULTICAST_JOIN', + 'value' => '12' + }, + '13' => { + 'name' => 'RDMA_CM_EVENT_MULTICAST_ERROR', + 'value' => '13' + }, + '14' => { + 'name' => 'RDMA_CM_EVENT_ADDR_CHANGE', + 'value' => '14' + }, + '15' => { + 'name' => 'RDMA_CM_EVENT_TIMEWAIT_EXIT', + 'value' => '15' + }, + '2' => { + 'name' => 'RDMA_CM_EVENT_ROUTE_RESOLVED', + 'value' => '2' + }, + '3' => { + 'name' => 'RDMA_CM_EVENT_ROUTE_ERROR', + 'value' => '3' + }, + '4' => { + 'name' => 'RDMA_CM_EVENT_CONNECT_REQUEST', + 'value' => '4' + }, + '5' => { + 'name' => 'RDMA_CM_EVENT_CONNECT_RESPONSE', + 'value' => '5' + }, + '6' => { + 'name' => 'RDMA_CM_EVENT_CONNECT_ERROR', + 'value' => '6' + }, + '7' => { + 'name' => 'RDMA_CM_EVENT_UNREACHABLE', + 'value' => '7' + }, + '8' => { + 'name' => 'RDMA_CM_EVENT_REJECTED', + 'value' => '8' + }, + '9' => { + 'name' => 'RDMA_CM_EVENT_ESTABLISHED', + 'value' => '9' + } + }, + 'Name' => 'enum rdma_cm_event_type', + 'Size' => '4', + 'Type' => 'Enum' + }, + '31863' => { + 'Header' => undef, + 'Line' => '88', + 'Memb' => { + '0' => { + 'name' => 'sgid', + 'offset' => '0', + 'type' => '2428' + }, + '1' => { + 'name' => 'dgid', + 'offset' => '22', + 'type' => '2428' + }, + '2' => { + 'name' => 'pkey', + 'offset' => '50', + 'type' => '2055' + } + }, + 'Name' => 'struct rdma_ib_addr', + 'Size' => '40', + 'Type' => 'Struct' + }, + '31916' => { + 'Header' => undef, + 'Line' => '95', + 'Memb' => { + '0' => { + 'name' => 'src_addr', + 'offset' => '0', + 'type' => '1208' + }, + '1' => { + 'name' => 'src_sin', + 'offset' => '0', + 'type' => '1721' + }, + '2' => { + 'name' => 'src_sin6', + 'offset' => '0', + 'type' => '1803' + }, + '3' => { + 'name' => 'src_storage', + 'offset' => '0', + 'type' => '16782' + } + }, + 'Size' => '128', + 'Type' => 'Union' + }, + '31974' => { + 'Header' => undef, + 'Line' => '101', + 'Memb' => { + '0' => { + 'name' => 'dst_addr', + 'offset' => '0', + 'type' => '1208' + }, + '1' => { + 'name' => 'dst_sin', + 'offset' => '0', + 'type' => '1721' + }, + '2' => { + 'name' => 'dst_sin6', + 'offset' => '0', + 'type' => '1803' + }, + '3' => { + 'name' => 'dst_storage', + 'offset' => '0', + 'type' => '16782' + } + }, + 'Size' => '128', + 'Type' => 'Union' + }, + '32032' => { + 'Header' => undef, + 'Line' => '107', + 'Memb' => { + '0' => { + 'name' => 'ibaddr', + 'offset' => '0', + 'type' => '31863' + } + }, + 'Size' => '40', + 'Type' => 'Union' + }, + '32054' => { + 'Header' => undef, + 'Line' => '94', + 'Memb' => { + '0' => { + 'name' => 'unnamed0', + 'offset' => '0', + 'type' => '31916' + }, + '1' => { + 'name' => 'unnamed1', + 'offset' => '296', + 'type' => '31974' + }, + '2' => { + 'name' => 'addr', + 'offset' => '598', + 'type' => '32032' + } + }, + 'Name' => 'struct rdma_addr', + 'Size' => '296', + 'Type' => 'Struct' + }, + '32094' => { + 'Header' => undef, + 'Line' => '112', + 'Memb' => { + '0' => { + 'name' => 'addr', + 'offset' => '0', + 'type' => '32054' + }, + '1' => { + 'name' => 'path_rec', + 'offset' => '662', + 'type' => '32149' + }, + '2' => { + 'name' => 'num_paths', + 'offset' => '772', + 'type' => '161' + } + }, + 'Name' => 'struct rdma_route', + 'Size' => '312', + 'Type' => 'Struct' + }, + '32149' => { + 'BaseType' => '31184', + 'Name' => 'struct ibv_sa_path_rec*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32154' => { + 'Header' => undef, + 'Line' => '118', + 'Memb' => { + '0' => { + 'name' => 'fd', + 'offset' => '0', + 'type' => '161' + } + }, + 'Name' => 'struct rdma_event_channel', + 'Size' => '4', + 'Type' => 'Struct' + }, + '32180' => { + 'Header' => undef, + 'Line' => '122', + 'Memb' => { + '0' => { + 'name' => 'verbs', + 'offset' => '0', + 'type' => '19258' + }, + '1' => { + 'name' => 'channel', + 'offset' => '8', + 'type' => '32396' + }, + '10' => { + 'name' => 'recv_cq_channel', + 'offset' => '886', + 'type' => '26786' + }, + '11' => { + 'name' => 'recv_cq', + 'offset' => '900', + 'type' => '21382' + }, + '12' => { + 'name' => 'srq', + 'offset' => '914', + 'type' => '21698' + }, + '13' => { + 'name' => 'pd', + 'offset' => '1024', + 'type' => '22954' + }, + '14' => { + 'name' => 'qp_type', + 'offset' => '1032', + 'type' => '11209' + }, + '2' => { + 'name' => 'context', + 'offset' => '22', + 'type' => '82' + }, + '3' => { + 'name' => 'qp', + 'offset' => '36', + 'type' => '21582' + }, + '4' => { + 'name' => 'route', + 'offset' => '50', + 'type' => '32094' + }, + '5' => { + 'name' => 'ps', + 'offset' => '836', + 'type' => '2913' + }, + '6' => { + 'name' => 'port_num', + 'offset' => '840', + 'type' => '789' + }, + '7' => { + 'name' => 'event', + 'offset' => '850', + 'type' => '32479' + }, + '8' => { + 'name' => 'send_cq_channel', + 'offset' => '864', + 'type' => '26786' + }, + '9' => { + 'name' => 'send_cq', + 'offset' => '872', + 'type' => '21382' + } + }, + 'Name' => 'struct rdma_cm_id', + 'Size' => '416', + 'Type' => 'Struct' + }, + '32396' => { + 'BaseType' => '32154', + 'Name' => 'struct rdma_event_channel*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32401' => { + 'Header' => undef, + 'Line' => '166', + 'Memb' => { + '0' => { + 'name' => 'id', + 'offset' => '0', + 'type' => '32752' + }, + '1' => { + 'name' => 'listen_id', + 'offset' => '8', + 'type' => '32752' + }, + '2' => { + 'name' => 'event', + 'offset' => '22', + 'type' => '31706' + }, + '3' => { + 'name' => 'status', + 'offset' => '32', + 'type' => '161' + }, + '4' => { + 'name' => 'param', + 'offset' => '36', + 'type' => '32719' + } + }, + 'Name' => 'struct rdma_cm_event', + 'Size' => '80', + 'Type' => 'Struct' + }, + '32479' => { + 'BaseType' => '32401', + 'Name' => 'struct rdma_cm_event*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '32509' => { + 'Header' => undef, + 'Line' => '145', + 'Memb' => { + '0' => { + 'name' => 'private_data', + 'offset' => '0', + 'type' => '1888' + }, + '1' => { + 'name' => 'private_data_len', + 'offset' => '8', + 'type' => '789' + }, + '2' => { + 'name' => 'responder_resources', + 'offset' => '9', + 'type' => '789' + }, + '3' => { + 'name' => 'initiator_depth', + 'offset' => '16', + 'type' => '789' + }, + '4' => { + 'name' => 'flow_control', + 'offset' => '17', + 'type' => '789' + }, + '5' => { + 'name' => 'retry_count', + 'offset' => '18', + 'type' => '789' + }, + '6' => { + 'name' => 'rnr_retry_count', + 'offset' => '19', + 'type' => '789' + }, + '7' => { + 'name' => 'srq', + 'offset' => '20', + 'type' => '789' + }, + '8' => { + 'name' => 'qp_num', + 'offset' => '22', + 'type' => '813' + } + }, + 'Name' => 'struct rdma_conn_param', + 'Size' => '24', + 'Type' => 'Struct' + }, + '32640' => { + 'Header' => undef, + 'Line' => '158', + 'Memb' => { + '0' => { + 'name' => 'private_data', + 'offset' => '0', + 'type' => '1888' + }, + '1' => { + 'name' => 'private_data_len', + 'offset' => '8', + 'type' => '789' + }, + '2' => { + 'name' => 'ah_attr', + 'offset' => '22', + 'type' => '23155' + }, + '3' => { + 'name' => 'qp_num', + 'offset' => '72', + 'type' => '813' + }, + '4' => { + 'name' => 'qkey', + 'offset' => '82', + 'type' => '813' + } + }, + 'Name' => 'struct rdma_ud_param', + 'Size' => '56', + 'Type' => 'Struct' + }, + '32719' => { + 'Header' => undef, + 'Line' => '171', + 'Memb' => { + '0' => { + 'name' => 'conn', + 'offset' => '0', + 'type' => '32509' + }, + '1' => { + 'name' => 'ud', + 'offset' => '0', + 'type' => '32640' + } + }, + 'Size' => '56', + 'Type' => 'Union' + }, + '32752' => { + 'BaseType' => '32180', + 'Name' => 'struct rdma_cm_id*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33046' => { + 'Header' => undef, + 'Line' => '214', + 'Memb' => { + '0' => { + 'name' => 'comp_mask', + 'offset' => '0', + 'type' => '813' + }, + '1' => { + 'name' => 'join_flags', + 'offset' => '4', + 'type' => '813' + }, + '2' => { + 'name' => 'addr', + 'offset' => '8', + 'type' => '1883' + } + }, + 'Name' => 'struct rdma_cm_join_mc_attr_ex', + 'Size' => '16', + 'Type' => 'Struct' + }, + '33812' => { + 'BaseType' => '24169', + 'Name' => 'struct ibv_qp_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33817' => { + 'BaseType' => '23322', + 'Name' => 'struct ibv_srq_init_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33822' => { + 'BaseType' => '25016', + 'Name' => 'struct ibv_qp_attr*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '33827' => { + 'BaseType' => '26675', + 'Name' => 'struct ibv_ece*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4143' => { + 'BaseType' => '161', + 'Name' => 'int*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '42995' => { + 'BaseType' => '32752', + 'Name' => 'struct rdma_cm_id**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '4573' => { + 'BaseType' => '1248', + 'Name' => 'struct sockaddr const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '46' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '214', + 'Name' => 'size_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '47815' => { + 'BaseType' => '32479', + 'Name' => 'struct rdma_cm_event**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '48077' => { + 'BaseType' => '32509', + 'Name' => 'struct rdma_conn_param*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '50340' => { + 'BaseType' => '33046', + 'Name' => 'struct rdma_cm_join_mc_attr_ex*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '58' => { + 'Name' => 'unsigned long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '70' => { + 'Name' => 'unsigned int', + 'Size' => '4', + 'Type' => 'Intrinsic' + }, + '70961' => { + 'BaseType' => '19258', + 'Name' => 'struct ibv_context**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '767' => { + 'BaseType' => '233', + 'Header' => undef, + 'Line' => '77', + 'Name' => 'ssize_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '7862' => { + 'BaseType' => '3170', + 'Name' => 'struct rdma_addrinfo**', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '7867' => { + 'BaseType' => '3165', + 'Name' => 'struct rdma_addrinfo const*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '789' => { + 'BaseType' => '125', + 'Header' => undef, + 'Line' => '24', + 'Name' => 'uint8_t', + 'Size' => '1', + 'Type' => 'Typedef' + }, + '79684' => { + 'BaseType' => '185', + 'Header' => undef, + 'Line' => '162', + 'Name' => '__suseconds_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '79778' => { + 'BaseType' => '209', + 'Header' => undef, + 'Line' => '85', + 'Name' => 'off_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '79826' => { + 'Header' => undef, + 'Line' => '8', + 'Memb' => { + '0' => { + 'name' => 'tv_sec', + 'offset' => '0', + 'type' => '15670' + }, + '1' => { + 'name' => 'tv_usec', + 'offset' => '8', + 'type' => '79684' + } + }, + 'Name' => 'struct timeval', + 'Size' => '16', + 'Type' => 'Struct' + }, + '801' => { + 'BaseType' => '149', + 'Header' => undef, + 'Line' => '25', + 'Name' => 'uint16_t', + 'Size' => '2', + 'Type' => 'Typedef' + }, + '80618' => { + 'Header' => undef, + 'Line' => '26', + 'Memb' => { + '0' => { + 'name' => 'iov_base', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'iov_len', + 'offset' => '8', + 'type' => '46' + } + }, + 'Name' => 'struct iovec', + 'Size' => '16', + 'Type' => 'Struct' + }, + '80658' => { + 'BaseType' => '80618', + 'Name' => 'struct iovec const', + 'Size' => '16', + 'Type' => 'Const' + }, + '81060' => { + 'Header' => undef, + 'Line' => '259', + 'Memb' => { + '0' => { + 'name' => 'msg_name', + 'offset' => '0', + 'type' => '82' + }, + '1' => { + 'name' => 'msg_namelen', + 'offset' => '8', + 'type' => '1110' + }, + '2' => { + 'name' => 'msg_iov', + 'offset' => '22', + 'type' => '81177' + }, + '3' => { + 'name' => 'msg_iovlen', + 'offset' => '36', + 'type' => '46' + }, + '4' => { + 'name' => 'msg_control', + 'offset' => '50', + 'type' => '82' + }, + '5' => { + 'name' => 'msg_controllen', + 'offset' => '64', + 'type' => '46' + }, + '6' => { + 'name' => 'msg_flags', + 'offset' => '72', + 'type' => '161' + } + }, + 'Name' => 'struct msghdr', + 'Size' => '56', + 'Type' => 'Struct' + }, + '81172' => { + 'BaseType' => '81060', + 'Name' => 'struct msghdr const', + 'Size' => '56', + 'Type' => 'Const' + }, + '81177' => { + 'BaseType' => '80618', + 'Name' => 'struct iovec*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '813' => { + 'BaseType' => '173', + 'Header' => undef, + 'Line' => '26', + 'Name' => 'uint32_t', + 'Size' => '4', + 'Type' => 'Typedef' + }, + '82' => { + 'BaseType' => '1', + 'Name' => 'void*', + 'Size' => '8', + 'Type' => 'Pointer' + }, + '825' => { + 'BaseType' => '197', + 'Header' => undef, + 'Line' => '27', + 'Name' => 'uint64_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '837' => { + 'Name' => 'unsigned long long', + 'Size' => '8', + 'Type' => 'Intrinsic' + }, + '89' => { + 'Name' => 'unsigned char', + 'Size' => '1', + 'Type' => 'Intrinsic' + }, + '98560' => { + 'BaseType' => '58', + 'Header' => undef, + 'Line' => '33', + 'Name' => 'nfds_t', + 'Size' => '8', + 'Type' => 'Typedef' + }, + '98572' => { + 'Header' => undef, + 'Line' => '36', + 'Memb' => { + '0' => { + 'name' => 'fd', + 'offset' => '0', + 'type' => '161' + }, + '1' => { + 'name' => 'events', + 'offset' => '4', + 'type' => '137' + }, + '2' => { + 'name' => 'revents', + 'offset' => '6', + 'type' => '137' + } + }, + 'Name' => 'struct pollfd', + 'Size' => '8', + 'Type' => 'Struct' + }, + '99821' => { + 'BaseType' => '98572', + 'Name' => 'struct pollfd*', + 'Size' => '8', + 'Type' => 'Pointer' + } + }, + 'UndefinedSymbols' => { + 'librdmacm.so.1.3.49.0' => { + '_ITM_deregisterTMCloneTable' => 0, + '_ITM_registerTMCloneTable' => 0, + '__asprintf_chk@GLIBC_2.8' => 0, + '__cxa_finalize@GLIBC_2.2.5' => 0, + '__errno_location@GLIBC_2.2.5' => 0, + '__fdelt_chk@GLIBC_2.15' => 0, + '__gmon_start__' => 0, + '__isoc99_fscanf@GLIBC_2.7' => 0, + '__memcpy_chk@GLIBC_2.3.4' => 0, + '__poll_chk@GLIBC_2.16' => 0, + '__stack_chk_fail@GLIBC_2.4' => 0, + '__syslog_chk@GLIBC_2.4' => 0, + '__tls_get_addr@GLIBC_2.3' => 0, + 'bind@GLIBC_2.2.5' => 0, + 'calloc@GLIBC_2.2.5' => 0, + 'clock_gettime@GLIBC_2.17' => 0, + 'close@GLIBC_2.2.5' => 0, + 'connect@GLIBC_2.2.5' => 0, + 'epoll_create@GLIBC_2.3.2' => 0, + 'epoll_ctl@GLIBC_2.3.2' => 0, + 'epoll_wait@GLIBC_2.3.2' => 0, + 'eventfd@GLIBC_2.7' => 0, + 'fclose@GLIBC_2.2.5' => 0, + 'fcntl@GLIBC_2.2.5' => 0, + 'fopen@GLIBC_2.2.5' => 0, + 'free@GLIBC_2.2.5' => 0, + 'freeaddrinfo@GLIBC_2.2.5' => 0, + 'fstat@GLIBC_2.33' => 0, + 'getaddrinfo@GLIBC_2.2.5' => 0, + 'getenv@GLIBC_2.2.5' => 0, + 'getpeername@GLIBC_2.2.5' => 0, + 'getrandom@GLIBC_2.25' => 0, + 'getsockname@GLIBC_2.2.5' => 0, + 'ibv_ack_cq_events@IBVERBS_1.1' => 0, + 'ibv_alloc_pd@IBVERBS_1.1' => 0, + 'ibv_attach_mcast@IBVERBS_1.1' => 0, + 'ibv_close_device@IBVERBS_1.1' => 0, + 'ibv_copy_ah_attr_from_kern@IBVERBS_1.1' => 0, + 'ibv_copy_path_rec_from_kern@IBVERBS_1.0' => 0, + 'ibv_copy_qp_attr_from_kern@IBVERBS_1.0' => 0, + 'ibv_create_ah@IBVERBS_1.1' => 0, + 'ibv_create_comp_channel@IBVERBS_1.0' => 0, + 'ibv_create_cq@IBVERBS_1.1' => 0, + 'ibv_create_qp@IBVERBS_1.1' => 0, + 'ibv_create_srq@IBVERBS_1.1' => 0, + 'ibv_dealloc_pd@IBVERBS_1.1' => 0, + 'ibv_dereg_mr@IBVERBS_1.1' => 0, + 'ibv_destroy_ah@IBVERBS_1.1' => 0, + 'ibv_destroy_comp_channel@IBVERBS_1.0' => 0, + 'ibv_destroy_cq@IBVERBS_1.1' => 0, + 'ibv_destroy_qp@IBVERBS_1.1' => 0, + 'ibv_destroy_srq@IBVERBS_1.1' => 0, + 'ibv_detach_mcast@IBVERBS_1.1' => 0, + 'ibv_free_device_list@IBVERBS_1.1' => 0, + 'ibv_get_cq_event@IBVERBS_1.1' => 0, + 'ibv_get_device_guid@IBVERBS_1.1' => 0, + 'ibv_get_device_index@IBVERBS_1.9' => 0, + 'ibv_get_device_list@IBVERBS_1.1' => 0, + 'ibv_get_pkey_index@IBVERBS_1.5' => 0, + 'ibv_get_sysfs_path@IBVERBS_1.0' => 0, + 'ibv_modify_qp@IBVERBS_1.1' => 0, + 'ibv_open_device@IBVERBS_1.1' => 0, + 'ibv_query_device@IBVERBS_1.1' => 0, + 'ibv_query_ece@IBVERBS_1.10' => 0, + 'ibv_query_gid@IBVERBS_1.1' => 0, + 'ibv_query_port@IBVERBS_1.1' => 0, + 'ibv_read_sysfs_file@IBVERBS_1.0' => 0, + 'ibv_reg_mr@IBVERBS_1.1' => 0, + 'ibv_set_ece@IBVERBS_1.10' => 0, + 'in6addr_any@GLIBC_2.2.5' => 0, + 'in6addr_loopback@GLIBC_2.2.5' => 0, + 'inotify_add_watch@GLIBC_2.4' => 0, + 'inotify_init1@GLIBC_2.9' => 0, + 'malloc@GLIBC_2.2.5' => 0, + 'memcmp@GLIBC_2.2.5' => 0, + 'memcpy@GLIBC_2.14' => 0, + 'memset@GLIBC_2.2.5' => 0, + 'nl_connect' => 0, + 'nl_recvmsgs_default' => 0, + 'nl_send_auto' => 0, + 'nl_send_simple' => 0, + 'nl_socket_alloc' => 0, + 'nl_socket_disable_auto_ack' => 0, + 'nl_socket_disable_msg_peek' => 0, + 'nl_socket_free' => 0, + 'nl_socket_modify_cb' => 0, + 'nl_socket_modify_err_cb' => 0, + 'nla_get_string' => 0, + 'nla_get_u64' => 0, + 'nla_put' => 0, + 'nlmsg_alloc_simple' => 0, + 'nlmsg_free' => 0, + 'nlmsg_hdr' => 0, + 'nlmsg_parse' => 0, + 'open@GLIBC_2.2.5' => 0, + 'poll@GLIBC_2.2.5' => 0, + 'posix_memalign@GLIBC_2.2.5' => 0, + 'pthread_cond_destroy@GLIBC_2.3.2' => 0, + 'pthread_cond_init@GLIBC_2.3.2' => 0, + 'pthread_cond_signal@GLIBC_2.3.2' => 0, + 'pthread_cond_wait@GLIBC_2.3.2' => 0, + 'pthread_create@GLIBC_2.34' => 0, + 'pthread_join@GLIBC_2.34' => 0, + 'pthread_mutex_destroy@GLIBC_2.2.5' => 0, + 'pthread_mutex_init@GLIBC_2.2.5' => 0, + 'pthread_mutex_lock@GLIBC_2.2.5' => 0, + 'pthread_mutex_unlock@GLIBC_2.2.5' => 0, + 'qsort@GLIBC_2.2.5' => 0, + 'rand_r@GLIBC_2.2.5' => 0, + 'read@GLIBC_2.2.5' => 0, + 'recv@GLIBC_2.2.5' => 0, + 'recvfrom@GLIBC_2.2.5' => 0, + 'sched_yield@GLIBC_2.2.5' => 0, + 'sem_destroy@GLIBC_2.34' => 0, + 'sem_init@GLIBC_2.34' => 0, + 'sem_post@GLIBC_2.34' => 0, + 'sem_wait@GLIBC_2.34' => 0, + 'send@GLIBC_2.2.5' => 0, + 'sendmsg@GLIBC_2.2.5' => 0, + 'setsockopt@GLIBC_2.2.5' => 0, + 'shutdown@GLIBC_2.2.5' => 0, + 'snprintf@GLIBC_2.2.5' => 0, + 'socket@GLIBC_2.2.5' => 0, + 'socketpair@GLIBC_2.2.5' => 0, + 'strdup@GLIBC_2.2.5' => 0, + 'strlen@GLIBC_2.2.5' => 0, + 'strtol@GLIBC_2.2.5' => 0, + 'sysconf@GLIBC_2.2.5' => 0, + 'tdelete@GLIBC_2.2.5' => 0, + 'tdestroy@GLIBC_2.2.5' => 0, + 'tfind@GLIBC_2.2.5' => 0, + 'time@GLIBC_2.2.5' => 0, + 'timerfd_create@GLIBC_2.8' => 0, + 'timerfd_settime@GLIBC_2.8' => 0, + 'tsearch@GLIBC_2.2.5' => 0, + 'write@GLIBC_2.2.5' => 0 + } + }, + 'WordSize' => '8' + }; diff --git a/buildlib/azure-pipelines-release.yml b/buildlib/azure-pipelines-release.yml index 75c41302f..c785ddc52 100644 --- a/buildlib/azure-pipelines-release.yml +++ b/buildlib/azure-pipelines-release.yml @@ -46,3 +46,5 @@ stages: action: create isDraft: true addChangeLog: true + changeLogCompareToRelease: lastNonDraftReleaseByTag + changeLogCompareToReleaseTag: v49.*