From 58ff9bd924c3a59b800ac7db466dcf26cbfab0e5 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?S=C3=A9rgio=20Gl=C3=B3rias?= <9739913+SergioGlorias@users.noreply.github.com> Date: Fri, 21 Jun 2024 13:46:47 +0100 Subject: [PATCH] Direct to defined round as well. the view can also be defined like this `#uiid/round/board --- modules/relay/src/main/RelayRound.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/modules/relay/src/main/RelayRound.scala b/modules/relay/src/main/RelayRound.scala index 6a4bfa2f8000..8d257dbb2b1d 100644 --- a/modules/relay/src/main/RelayRound.scala +++ b/modules/relay/src/main/RelayRound.scala @@ -128,7 +128,7 @@ object RelayRound: override def isLcc = true def id = lcc def fetchUrl = s"http://1.pool.livechesscloud.com/get/$id/round-$round/index.json" - def viewUrl = s"https://view.livechesscloud.com/#$id" + def viewUrl = s"https://view.livechesscloud.com/#$id/$round" def formUrl = s"$viewUrl $round" object UpstreamLcc: private val idRegex = """.*view\.livechesscloud\.com/?#?([0-9a-f\-]+)""".r