Skip to content
View gojimmypi's full-sized avatar
Block or Report

Block or report gojimmypi

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Beta Lists are currently in beta. Share feedback and report bugs.
5 stars written in Verilog
Clear filter

3-stage RV32IMACZb* processor with debug

Verilog 74 8 Updated Jun 6, 2024

PicoRV32 - A Size-Optimized RISC-V CPU

Verilog 20 6 Updated May 12, 2021

Yet Another Debug Transport

Verilog 18 Updated Mar 28, 2022

Toy project for SSD1331 PMOD display connected to Lattice ICEstick board

Verilog 3 Updated Feb 14, 2019

An FPGA-based disk controller card for the Apple II

Verilog 1 Updated Aug 22, 2021