Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verilog Emitter: randomize registers by default #2366

Open
ekiwi opened this issue Sep 23, 2021 · 0 comments
Open

Verilog Emitter: randomize registers by default #2366

ekiwi opened this issue Sep 23, 2021 · 0 comments
Assignees
Milestone

Comments

@ekiwi
Copy link
Contributor

ekiwi commented Sep 23, 2021

Jack and I talked about this on Gitter. We think that randomization should be opt-out instead of opt-in.

@ekiwi ekiwi added this to the 1.5.0 milestone Sep 23, 2021
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants