Skip to content
View byungwoo733's full-sized avatar
Block or Report

Block or report byungwoo733

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
41 stars written in Verilog
Clear filter

PicoRV32 - A Size-Optimized RISC-V CPU

Verilog 2,956 736 Updated Jun 27, 2024

Verilog Ethernet components for FPGA implementation

Verilog 2,021 637 Updated Jul 18, 2024

Verilog AXI components for FPGA implementation

Verilog 1,376 421 Updated Dec 7, 2023

Verilog PCI express components

Verilog 1,030 275 Updated Apr 26, 2024

An open source GPU based off of the AMD Southern Islands ISA.

Verilog 1,009 235 Updated Sep 25, 2017

An Open-source FPGA IP Generator

Verilog 782 158 Updated Jul 22, 2024

Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators

Verilog 609 100 Updated Dec 21, 2023

A High-performance Timing Analysis Tool for VLSI Systems

Verilog 537 146 Updated May 26, 2023

Verilog I2C interface for FPGA implementation

Verilog 501 164 Updated Jul 15, 2024

iCESugar FPGA Board (base on iCE40UP5k)

Verilog 344 97 Updated Jun 5, 2024

RIDECORE (RIsc-v Dynamic Execution CORE) is an Out-of-Order RISC-V processor written in Verilog HDL.

Verilog 307 62 Updated Jul 12, 2017

Verilog SDRAM memory controller

Verilog 294 91 Updated May 13, 2017

All code found on nandland is here. underconstruction.gif

Verilog 293 70 Updated Aug 21, 2022

OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/

Verilog 287 265 Updated Jul 25, 2024

SystemC/TLM-2.0 Co-simulation framework

Verilog 205 67 Updated May 15, 2024

VSDFLOW is an automated solution to programmers, hobbyists and small scale semiconductor technology entrepreneurs who can craft their ideas in RTL language, and convert the design to hardware using…

Verilog 148 55 Updated May 11, 2023

A 32-bit Microcontroller featuring a RISC-V core

Verilog 145 41 Updated Feb 28, 2018

IEEE 754 floating point unit in Verilog

Verilog 120 22 Updated May 20, 2016

MIPI I3C Basic v1.0 communication Slave source code in Verilog with BSD license to support use in sensors and other devices.

Verilog 100 33 Updated May 8, 2020

Graphics demos

Verilog 96 9 Updated Mar 22, 2024

Altera Cyclone IV FPGA project for the USB 3.0 LimeSDR board

Verilog 96 64 Updated Aug 2, 2021

8051 core

Verilog 91 31 Updated Jul 17, 2014

Parallel Array of Simple Cores. Multicore processor.

Verilog 91 35 Updated May 16, 2019

Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog

Verilog 78 12 Updated Oct 11, 2019

The OpenCores ao68000 IP Core is a Motorola MC68000 binary compatible processor.

Verilog 77 16 Updated Mar 11, 2012

32-Bit Algorithms of Floating Point Operations are implemented on Verilog with logic Operations.

Verilog 62 27 Updated Apr 30, 2019

OpenSPARC-based SoC

Verilog 55 29 Updated Jul 17, 2014

Test for video output using the ADV7513 chip on a de10 nano board

Verilog 48 20 Updated Feb 14, 2019

Altera Cyclone IV FPGA project for the PCIe LimeSDR board

Verilog 33 24 Updated Nov 3, 2022

Raptor is an SoC Design Template based on Arm Cortex M0 or M3 core.

Verilog 18 8 Updated Oct 9, 2019
Next